Release version 0.14
[yosys.git] / CHANGELOG
index ff22a01e24146fd6206509b312a207ed8e4979cb..069efd6f5586dbad5aaa27cea91864c244877668 100644 (file)
--- a/CHANGELOG
+++ b/CHANGELOG
@@ -2,9 +2,21 @@
 List of major changes and improvements between releases
 =======================================================
 
-Yosys 0.13 .. Yosys 0.13-dev
+Yosys 0.13 .. Yosys 0.14
 --------------------------
 
+ * Various
+    - Added $bmux and $demux cells and related optimization patterns.
+
+ * New commands and options
+    - Added "bmuxmap" and "dmuxmap" passes 
+    - Added "-fst" option to "sim" pass for writing FST files
+    - Added "-r", "-scope", "-start", "-stop", "-at", "-sim", "-sim-gate",
+      "-sim-gold" options to "sim" pass for co-simulation
+
+ * Anlogic support
+    - Added support for BRAMs
+
 Yosys 0.12 .. Yosys 0.13
 --------------------------