Merge pull request #1727 from YosysHQ/eddie/fix_write_smt2
[yosys.git] / CHANGELOG
index 9b87af8f04d790d7074c3b28da52752dff5cd7fa..18f82bdd17c4a1e811a4f6fa746556d439c5dedc 100644 (file)
--- a/CHANGELOG
+++ b/CHANGELOG
@@ -55,7 +55,14 @@ Yosys 0.9 .. Yosys 0.9-dev
     - Added "check -mapped"
     - Added checking of SystemVerilog always block types (always_comb,
       always_latch and always_ff)
+    - Added support for SystemVerilog wildcard port connections (.*)
     - Added "xilinx_dffopt" pass
+    - Added "scratchpad" pass
+    - Added "abc9 -dff"
+    - Added "synth_xilinx -dff"
+    - Improved support of $readmem[hb] Memory Content File inclusion
+    - Added "opt_lut_ins" pass
+    - Added "logger" pass
 
 Yosys 0.8 .. Yosys 0.9
 ----------------------