Merge pull request #1727 from YosysHQ/eddie/fix_write_smt2
[yosys.git] / CHANGELOG
index c1ffaa44a18030ad9630a65c2904531d6644d50f..18f82bdd17c4a1e811a4f6fa746556d439c5dedc 100644 (file)
--- a/CHANGELOG
+++ b/CHANGELOG
@@ -50,6 +50,19 @@ Yosys 0.9 .. Yosys 0.9-dev
     - "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
     - "synth_ice40 -dsp" to infer DSP blocks
     - Added latch support to synth_xilinx
+    - Added support for flip-flops with synchronous reset to synth_xilinx
+    - Added support for flip-flops with reset and enable to synth_xilinx
+    - Added "check -mapped"
+    - Added checking of SystemVerilog always block types (always_comb,
+      always_latch and always_ff)
+    - Added support for SystemVerilog wildcard port connections (.*)
+    - Added "xilinx_dffopt" pass
+    - Added "scratchpad" pass
+    - Added "abc9 -dff"
+    - Added "synth_xilinx -dff"
+    - Improved support of $readmem[hb] Memory Content File inclusion
+    - Added "opt_lut_ins" pass
+    - Added "logger" pass
 
 Yosys 0.8 .. Yosys 0.9
 ----------------------