Merge pull request #1727 from YosysHQ/eddie/fix_write_smt2
[yosys.git] / CHANGELOG
index ca719609138a04a70449d4c46415ab1f6834bb28..18f82bdd17c4a1e811a4f6fa746556d439c5dedc 100644 (file)
--- a/CHANGELOG
+++ b/CHANGELOG
@@ -60,7 +60,9 @@ Yosys 0.9 .. Yosys 0.9-dev
     - Added "scratchpad" pass
     - Added "abc9 -dff"
     - Added "synth_xilinx -dff"
+    - Improved support of $readmem[hb] Memory Content File inclusion
     - Added "opt_lut_ins" pass
+    - Added "logger" pass
 
 Yosys 0.8 .. Yosys 0.9
 ----------------------