Merge pull request #1727 from YosysHQ/eddie/fix_write_smt2
[yosys.git] / CHANGELOG
index e0b0eb05e0c97b7c873e152cd2c08b284b7d55dd..18f82bdd17c4a1e811a4f6fa746556d439c5dedc 100644 (file)
--- a/CHANGELOG
+++ b/CHANGELOG
@@ -62,6 +62,7 @@ Yosys 0.9 .. Yosys 0.9-dev
     - Added "synth_xilinx -dff"
     - Improved support of $readmem[hb] Memory Content File inclusion
     - Added "opt_lut_ins" pass
+    - Added "logger" pass
 
 Yosys 0.8 .. Yosys 0.9
 ----------------------