Merge pull request #1086 from udif/pr_elab_sys_tasks2
[yosys.git] / CHANGELOG
index 95bbb3f33d44a2ec86fa19cf165a7a4115dae669..839fefcf1d0b57888408eb5dc20bc8dae561733f 100644 (file)
--- a/CHANGELOG
+++ b/CHANGELOG
@@ -16,7 +16,8 @@ Yosys 0.8 .. Yosys 0.8-dev
     - Added "gate2lut.v" techmap rule
     - Added "rename -src"
     - Added "equiv_opt" pass
-    - Added "shregmap -tech xilinx", used by "synth_xilinx"
+    - Added "read_aiger" frontend
+    - "synth_xilinx" to now infer hard shift registers, using new "shregmap -tech xilinx"
 
 
 Yosys 0.7 .. Yosys 0.8