Merge pull request #3263 from YosysHQ/micko/clk2ff_init
[yosys.git] / CODEOWNERS
index 0c92691f4ae7f21e6d64f9c199d82c844a074978..11a8cc0262e6a01ec3b167b594f7ada29b279ede 100644 (file)
@@ -31,6 +31,8 @@ frontends/verilog/             @zachjs
 frontends/ast/                 @zachjs
 
 techlibs/intel_alm/            @ZirconiumX
+techlibs/gowin/                @pepijndevos
+techlibs/gatemate/             @pu-cc
 
 # pyosys
 misc/*.py                      @btut
@@ -40,4 +42,5 @@ backends/firrtl                @ucbjrl @azidar
 passes/sat/qbfsat.cc           @boqwxp
 passes/sat/qbfsat.h            @boqwxp
 passes/cmds/exec.cc            @boqwxp
+passes/cmds/glift.cc           @boqwxp
 passes/cmds/printattrs.cc      @boqwxp