Fix multiclock for btor2 witness
[yosys.git] / Makefile
index e77b4f6b4c24318480c8edd57ee043d89555f886..e95c5f491730e76004bc6fe3f9811bde045449c6 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -129,7 +129,7 @@ LDFLAGS += -rdynamic
 LDLIBS += -lrt
 endif
 
-YOSYS_VER := 0.16+31
+YOSYS_VER := 0.16+41
 GIT_REV := $(shell git -C $(YOSYS_SRC) rev-parse --short HEAD 2> /dev/null || echo UNKNOWN)
 OBJS = kernel/version_$(GIT_REV).o