Add demo_reduce pass to demonstrace recursive pattern matching
[yosys.git] / README.md
index 9e221be3827a279b1ba111734e039841f09eb9d0..d9989eb29e530d15e89200fa7e844f2864e7f671 100644 (file)
--- a/README.md
+++ b/README.md
@@ -67,13 +67,13 @@ prerequisites for building yosys:
        $ sudo apt-get install build-essential clang bison flex \
                libreadline-dev gawk tcl-dev libffi-dev git \
                graphviz xdot pkg-config python3 libboost-system-dev \
-               libboost-python-dev libboost-filesystem-dev
+               libboost-python-dev libboost-filesystem-dev zlib1g-dev
 
 Similarily, on Mac OS X MacPorts or Homebrew can be used to install dependencies:
 
        $ brew tap Homebrew/bundle && brew bundle
        $ sudo port install bison flex readline gawk libffi \
-               git graphviz pkgconfig python36 boost
+               git graphviz pkgconfig python36 boost zlib
 
 On FreeBSD use the following command to install all prerequisites:
 
@@ -85,7 +85,7 @@ On FreeBSD system use gmake instead of make. To run tests use:
 
 For Cygwin use the following command to install all prerequisites, or select these additional packages:
 
-       setup-x86_64.exe -q --packages=bison,flex,gcc-core,gcc-g++,git,libffi-devel,libreadline-devel,make,pkg-config,python3,tcl-devel,boost-build
+       setup-x86_64.exe -q --packages=bison,flex,gcc-core,gcc-g++,git,libffi-devel,libreadline-devel,make,pkg-config,python3,tcl-devel,boost-build,zlib-devel
 
 There are also pre-compiled Yosys binary packages for Ubuntu and Win32 as well
 as a source distribution for Visual Studio. Visit the Yosys download page for
@@ -130,18 +130,15 @@ commands and ``help <command>`` to print details on the specified command:
 
        yosys> help help
 
-reading the design using the Verilog frontend:
+reading and elaborating the design using the Verilog frontend:
 
-       yosys> read_verilog tests/simple/fiedler-cooley.v
+       yosys> read -sv tests/simple/fiedler-cooley.v
+       yosys> hierarchy -top up3down5
 
 writing the design to the console in Yosys's internal format:
 
        yosys> write_ilang
 
-elaborate design hierarchy:
-
-       yosys> hierarchy
-
 convert processes (``always`` blocks) to netlist elements and perform
 some simple optimizations:
 
@@ -163,51 +160,26 @@ write design netlist to a new Verilog file:
 
        yosys> write_verilog synth.v
 
-a similar synthesis can be performed using yosys command line options only:
-
-       $ ./yosys -o synth.v -p hierarchy -p proc -p opt \
-                            -p techmap -p opt tests/simple/fiedler-cooley.v
-
 or using a simple synthesis script:
 
        $ cat synth.ys
-       read_verilog tests/simple/fiedler-cooley.v
-       hierarchy; proc; opt; techmap; opt
+       read -sv tests/simple/fiedler-cooley.v
+       hierarchy -top up3down5
+       proc; opt; techmap; opt
        write_verilog synth.v
 
        $ ./yosys synth.ys
 
-It is also possible to only have the synthesis commands but not the read/write
-commands in the synthesis script:
-
-       $ cat synth.ys
-       hierarchy; proc; opt; techmap; opt
-
-       $ ./yosys -o synth.v tests/simple/fiedler-cooley.v synth.ys
-
-The following very basic synthesis script should work well with all designs:
-
-       # check design hierarchy
-       hierarchy
-
-       # translate processes (always blocks)
-       proc; opt
-
-       # detect and optimize FSM encodings
-       fsm; opt
-
-       # implement memories (arrays)
-       memory; opt
-
-       # convert to gate logic
-       techmap; opt
-
 If ABC is enabled in the Yosys build configuration and a cell library is given
 in the liberty file ``mycells.lib``, the following synthesis script will
 synthesize for the given cell library:
 
+       # read design
+       read -sv tests/simple/fiedler-cooley.v
+       hierarchy -top up3down5
+
        # the high-level stuff
-       hierarchy; proc; fsm; opt; memory; opt
+       proc; fsm; opt; memory; opt
 
        # mapping to internal cell library
        techmap; opt
@@ -222,7 +194,8 @@ synthesize for the given cell library:
        clean
 
 If you do not have a liberty file but want to test this synthesis script,
-you can use the file ``examples/cmos/cmos_cells.lib`` from the yosys sources.
+you can use the file ``examples/cmos/cmos_cells.lib`` from the yosys sources
+as simple example.
 
 Liberty file downloads for and information about free and open ASIC standard
 cell libraries can be found here:
@@ -231,20 +204,18 @@ cell libraries can be found here:
 - http://www.vlsitechnology.org/synopsys/vsclib013.lib
 
 The command ``synth`` provides a good default synthesis script (see
-``help synth``).  If possible a synthesis script should borrow from ``synth``.
-For example:
+``help synth``):
 
-       # the high-level stuff
-       hierarchy
-       synth -run coarse
+       read -sv tests/simple/fiedler-cooley.v
+       synth -top up3down5
 
-       # mapping to internal cells
-       techmap; opt -fast
+       # mapping to target cells
        dfflibmap -liberty mycells.lib
        abc -liberty mycells.lib
        clean
 
-Yosys is under construction. A more detailed documentation will follow.
+The command ``prep`` provides a good default word-level synthesis script, as
+used in SMT-based formal verification.
 
 
 Unsupported Verilog-2005 Features