Merge pull request #3310 from robinsonb5-PRs/master
[yosys.git] / examples / anlogic / build.tcl
index db8c3b3478d68072e098b16fb32c54a04ec3028b..06db525c9a1136e76d6c296e87f57b3a39334953 100644 (file)
@@ -8,4 +8,4 @@ pack
 place
 route
 report_area -io_info -file demo_phy.area
-bitgen -bit demo.bit -version 0X00 -g ucode:00000000000000000000000000000000
+bitgen -bit demo.bit -version 0X0000 -svf demo.svf -svf_comment_on -g ucode:00000000000000000000000000000000