Revert "Avoid timing violation on ECP5 PHY PAUSE signal"
[gram.git] / examples / headless / main.c
index 43bfab7830518df97fd067e97768646dd7c19772..37d22bdb9ee8f36623bee50c206d90c51b31a9d1 100644 (file)
@@ -131,10 +131,10 @@ int main(int argc, char *argv[]) {
 #if 1
        struct gramProfile profile = {
                .mode_registers = {
-                       0xb30, 0x806, 0x200, 0x0
+                       0xb20, 0x806, 0x200, 0x0
                },
-               .rdly_p0 = 5,
-               .rdly_p1 = 5,
+               .rdly_p0 = 2,
+               .rdly_p1 = 2,
        };
 #endif
 #if 0
@@ -167,7 +167,7 @@ int main(int argc, char *argv[]) {
        gram_init(&ctx, &profile, (void*)ddr_base, (void*)0x00009000, (void*)0x00008000);
        printf("done\n");
 
-#if 1
+#if 0
        printf("Rdly\np0: ");
        for (size_t i = 0; i < 8; i++) {
                profile2.rdly_p0 = i;
@@ -201,9 +201,7 @@ int main(int argc, char *argv[]) {
                fflush(stdout);
        }
        printf("\n");
-#endif
 
-#if 0
         printf("Auto calibrating... ");
         res = gram_generate_calibration(&ctx, &profile2);
         if (res != GRAM_ERR_NONE) {