Add SF2 IO buffer insertion
[yosys.git] / examples / igloo2 / example.v
index 3eb7007c5c6a41492c8f835315f3b474dd6f0b54..1a1967d5ae2e4292a2a833c648c52d32a496d257 100644 (file)
@@ -1,5 +1,6 @@
-module top (
+module example (
        input  clk,
+       input  EN,
        output LED1,
        output LED2,
        output LED3,
@@ -14,7 +15,7 @@ module top (
        reg [BITS-1:0] outcnt;
 
        always @(posedge clk) begin
-               counter <= counter + 1;
+               counter <= counter + EN;
                outcnt <= counter >> LOG2DELAY;
        end