Externalize CRG into its own file
[gram.git] / gram / simulation / simcrgtb.v
index 372a5e2fe28c167c04789b120b29a433bf281500..68143436dd400caf795583f5ffd51fe84e72f22e 100644 (file)
@@ -1,6 +1,6 @@
 // This file is Copyright (c) 2020 LambdaConcept <contact@lambdaconcept.com>
 
-`timescale 1 ns / 10 fs
+`timescale 1 ns / 1 ns
 
 module top;
   // GSR & PUR init requires for Lattice models