Externalize CRG into its own file
[gram.git] / gram / simulation / simcrgtb.v
index 5e37736aef226b8b9bc190c49ad9132527e705c4..68143436dd400caf795583f5ffd51fe84e72f22e 100644 (file)
@@ -1,6 +1,6 @@
 // This file is Copyright (c) 2020 LambdaConcept <contact@lambdaconcept.com>
 
-`timescale 1 ns / 10 fs
+`timescale 1 ns / 1 ns
 
 module top;
   // GSR & PUR init requires for Lattice models
@@ -42,6 +42,7 @@ module top;
     $dumpvars(0, sync2x);
     $dumpvars(0, dramsync);
     $dumpvars(0, init);
+    $dumpvars(0, simcrgtop);
 
     #10000 $finish;
   end