Fix merge
[gram.git] / gram / simulation / simsoctb.v
index 4ca4b9d2982ce03a94965823f7b5168dd27225ad..512a87410e7c7832bb6d50d597d96ab313305cd3 100644 (file)
@@ -1,6 +1,6 @@
 // This file is Copyright (c) 2020 LambdaConcept <contact@lambdaconcept.com>
 
-`timescale 1 ns / 100 ps
+`timescale 1 ns / 1 ns
 
 module simsoctb;
   //parameter simticks = 70000;