Externalize CRG into its own file
[gram.git] / gram / simulation / simsoctb.v
index a427c23c905537554e25a2d34c17262a90de9b4f..a8d3c8d3f3c743197f459e0da339e7f16513fab6 100644 (file)
@@ -153,6 +153,7 @@ module simsoctb;
       wishbone_write(32'h0000900c >> 2, 32'h400); // p0 address
       wishbone_write(32'h00009010 >> 2, 32'h0); // p0 baddress
       wishbone_write(32'h00009004 >> 2, 8'h03); // WE|CS
+      wishbone_write(32'h00009008 >> 2, 8'h01); // Command issue strobe
       #2000;
 
       // Hardware control