Merge pull request #281 from antonblanchard/cache-tlb-parameters
[microwatt.git] / icache_tb.vhdl
index 39e28d5e9fffc7588685392535205f9121145f9a..1d179d68f94be8b180d383751fb6280b989539f3 100644 (file)
@@ -13,7 +13,7 @@ architecture behave of icache_tb is
     signal rst          : std_ulogic;
 
     signal i_out        : Fetch1ToIcacheType;
-    signal i_in         : IcacheToFetch2Type;
+    signal i_in         : IcacheToDecode1Type;
 
     signal m_out        : MmuToIcacheType;
 
@@ -33,6 +33,7 @@ begin
             i_in => i_out,
             i_out => i_in,
             m_in => m_out,
+            stall_in => '0',
            flush_in => '0',
             inval_in => '0',
             wishbone_out => wb_bram_in,