Added functionality to dff2dffe pass
[yosys.git] / kernel / celltypes.h
index f58ae14c400cb165389fe269ce46dc0d6e05355c..5ba4dd88b0a964728920d2ace392c9ab37d202a3 100644 (file)
@@ -116,6 +116,7 @@ struct CellTypes
        {
                setup_type("$sr", {"\\SET", "\\CLR"}, {"\\Q"});
                setup_type("$dff", {"\\CLK", "\\D"}, {"\\Q"});
+               setup_type("$dffe", {"\\CLK", "\\EN", "\\D"}, {"\\Q"});
                setup_type("$dffsr", {"\\CLK", "\\SET", "\\CLR", "\\D"}, {"\\Q"});
                setup_type("$adff", {"\\CLK", "\\ARST", "\\D"}, {"\\Q"});
                setup_type("$dlatch", {"\\EN", "\\D"}, {"\\Q"});