Added $assert cell
[yosys.git] / kernel / celltypes.h
index 2f311c82697eee4c6e569a7bbd586515fd48726b..9e63e9d1bfe848169e7b8a9e86068f51ef9c16ac 100644 (file)
@@ -96,6 +96,7 @@ struct CellTypes
                cell_types.insert("$pmux");
                cell_types.insert("$safe_pmux");
                cell_types.insert("$lut");
+               cell_types.insert("$assert");
        }
 
        void setup_internals_mem()