Added $assert cell
[yosys.git] / kernel / rtlil.cc
index 661525735ead1ae3e567ca8d2b6d5f417c319ec8..7638d4689f8bdf49c0790c2ba27c52501900abe0 100644 (file)
@@ -595,6 +595,13 @@ namespace {
                                return;
                        }
 
+                       if (cell->type == "$assert") {
+                               port("\\A", 1);
+                               port("\\EN", 1);
+                               check_expected();
+                               return;
+                       }
+
                        if (cell->type == "$_INV_") { check_gate("AY"); return; }
                        if (cell->type == "$_AND_") { check_gate("ABY"); return; }
                        if (cell->type == "$_OR_")  { check_gate("ABY"); return; }