build.plat, vendor.*: don't join strings passed as _opts overrides.
[nmigen.git] / nmigen / vendor / lattice_ice40.py
index 340a03aa6b40cc2758e4a296712cd413c2b2fd50..e296ce696b61b0ac4a61a924f3033b0da1823872 100644 (file)
@@ -60,14 +60,14 @@ class LatticeICE40Platform(TemplatedPlatform):
         "{{name}}.ys": r"""
             # {{autogenerated}}
             {% for file in platform.iter_extra_files(".v") -%}
-                read_verilog {{get_override("read_opts")|join(" ")}} {{file}}
+                read_verilog {{get_override("read_opts")|options}} {{file}}
             {% endfor %}
             {% for file in platform.iter_extra_files(".sv") -%}
-                read_verilog -sv {{get_override("read_opts")|join(" ")}} {{file}}
+                read_verilog -sv {{get_override("read_opts")|options}} {{file}}
             {% endfor %}
             read_ilang {{name}}.il
             {{get_override("script_after_read")|default("# (script_after_read placeholder)")}}
-            synth_ice40 {{get_override("synth_opts")|join(" ")}} -top {{name}}
+            synth_ice40 {{get_override("synth_opts")|options}} -top {{name}}
             {{get_override("script_after_synth")|default("# (script_after_synth placeholder)")}}
             write_json {{name}}.json
         """,
@@ -89,14 +89,14 @@ class LatticeICE40Platform(TemplatedPlatform):
         r"""
         {{get_tool("yosys")}}
             {{quiet("-q")}}
-            {{get_override("yosys_opts")|join(" ")}}
+            {{get_override("yosys_opts")|options}}
             -l {{name}}.rpt
             {{name}}.ys
         """,
         r"""
         {{get_tool("nextpnr-ice40")}}
             {{quiet("--quiet")}}
-            {{get_override("nextpnr_opts")|default(["--placer","heap"])|join(" ")}}
+            {{get_override("nextpnr_opts")|default(["--placer","heap"])|options}}
             --log {{name}}.tim
             {{platform._nextpnr_device_options[platform.device]}}
             --package