Fix unextend method for signed constants
[yosys.git] / passes / pmgen / ice40_dsp.pmg
index fbf49810930cfdc8b51ed47b538afff08e925e21..378f251c09fb6a8f2bcea0e1de861f6fbb874c9e 100644 (file)
@@ -1,9 +1,21 @@
 pattern ice40_dsp
 
 state <SigBit> clock
-state <bool> clock_pol cd_signed
+state <bool> clock_pol cd_signed o_lo
 state <SigSpec> sigA sigB sigCD sigH sigO
-state <Cell*> addAB muxAB
+state <Cell*> add mux
+state <IdString> addAB muxAB
+
+state <Cell*> ffA ffB ffCD
+state <Cell*> ffFJKG ffH ffO
+
+// subpattern
+state <bool> argSdff
+state <SigSpec> argQ argD
+udata <SigSpec> dffD dffQ
+udata <SigBit> dffclock
+udata <Cell*> dff
+udata <bool> dffclock_pol
 
 match mul
        select mul->type.in($mul, \SB_MAC16)
@@ -11,6 +23,18 @@ match mul
 endmatch
 
 code sigA sigB sigH
+       auto unextend = [](const SigSpec &sig) {
+               int i;
+               for (i = GetSize(sig)-1; i > 0; i--)
+                       if (sig[i] != sig[i-1])
+                               break;
+               // Do not remove non-const sign bit
+               ++i;
+               return sig.extract(0, i);
+       };
+       sigA = unextend(port(mul, \A));
+       sigB = unextend(port(mul, \B));
+
        SigSpec O;
        if (mul->type == $mul)
                O = mul->getPort(\Y);
@@ -20,149 +44,144 @@ code sigA sigB sigH
        if (GetSize(O) <= 10)
                reject;
 
-       sigA = port(mul, \A);
-       int i;
-       for (i = GetSize(sigA)-1; i > 0; i--)
-               if (sigA[i] != sigA[i-1])
-                       break;
-       // Do not remove non-const sign bit
-       if (sigA[i].wire)
-               ++i;
-       sigA.remove(i, GetSize(sigA)-i);
-       sigB = port(mul, \B);
-       for (i = GetSize(sigB)-1; i > 0; i--)
-               if (sigB[i] != sigB[i-1])
-                       break;
-       // Do not remove non-const sign bit
-       if (sigB[i].wire)
-               ++i;
-       sigB.remove(i, GetSize(sigB)-i);
-
        // Only care about those bits that are used
+       int i;
        for (i = 0; i < GetSize(O); i++) {
                if (nusers(O[i]) <= 1)
                        break;
                sigH.append(O[i]);
        }
+       // This sigM could have no users if downstream sinks (e.g. $add) is
+       //   narrower than $mul result, for example
+       if (i == 0)
+               reject;
+
        log_assert(nusers(O.extract_end(i)) <= 1);
 endcode
 
-match ffA
-       if mul->type != \SB_MAC16 || !param(mul, \A_REG).as_bool()
-       select ffA->type.in($dff)
-       filter GetSize(port(ffA, \Q)) >= GetSize(sigA)
-       slice offset GetSize(port(ffA, \Q))
-       filter offset+GetSize(sigA) <= GetSize(port(ffA, \Q)) && port(ffA, \Q).extract(offset, GetSize(sigA)) == sigA
-       optional
-endmatch
-
-code sigA clock clock_pol
-       if (ffA) {
-               for (auto b : port(ffA, \Q))
-                       if (b.wire->get_bool_attribute(\keep))
-                               reject;
-
-               clock = port(ffA, \CLK).as_bit();
-               clock_pol = param(ffA, \CLK_POLARITY).as_bool();
-
-               sigA.replace(port(ffA, \Q), port(ffA, \D));
+code argQ ffA sigA clock clock_pol
+       if (mul->type != \SB_MAC16 || !param(mul, \A_REG).as_bool()) {
+               argQ = sigA;
+               subpattern(in_dffe);
+               if (dff) {
+                       ffA = dff;
+                       clock = dffclock;
+                       clock_pol = dffclock_pol;
+                       sigA = dffD;
+               }
        }
 endcode
 
-match ffB
-       if mul->type != \SB_MAC16 || !param(mul, \B_REG).as_bool()
-       select ffB->type.in($dff)
-       filter GetSize(port(ffB, \Q)) >= GetSize(sigB)
-       slice offset GetSize(port(ffB, \Q))
-       filter offset+GetSize(sigB) <= GetSize(port(ffB, \Q)) && port(ffB, \Q).extract(offset, GetSize(sigB)) == sigB
-       optional
-endmatch
-
-code sigB clock clock_pol
-       if (ffB) {
-               for (auto b : port(ffB, \Q))
-                       if (b.wire->get_bool_attribute(\keep))
-                               reject;
-
-               SigBit c = port(ffB, \CLK).as_bit();
-               bool cp = param(ffB, \CLK_POLARITY).as_bool();
-
-               if (clock != SigBit() && (c != clock || cp != clock_pol))
-                       reject;
-
-               clock = c;
-               clock_pol = cp;
-
-               sigB.replace(port(ffB, \Q), port(ffB, \D));
+code argQ ffB sigB clock clock_pol
+       if (mul->type != \SB_MAC16 || !param(mul, \B_REG).as_bool()) {
+               argQ = sigB;
+               subpattern(in_dffe);
+               if (dff) {
+                       ffB = dff;
+                       clock = dffclock;
+                       clock_pol = dffclock_pol;
+                       sigB = dffD;
+               }
        }
 endcode
 
-match ffFJKG
-       // Ensure pipeline register is not already used
-       if mul->type != \SB_MAC16 || (!param(mul, \TOP_8x8_MULT_REG).as_bool() && !param(mul, \BOT_8x8_MULT_REG).as_bool() && !param(mul, \PIPELINE_16x16_MULT_REG1).as_bool() && !param(mul, \PIPELINE_16x16_MULT_REG2).as_bool())
-       select ffFJKG->type.in($dff)
-       select nusers(port(ffFJKG, \D)) == 2
-       index <SigSpec> port(ffFJKG, \D) === sigH
-       optional
-endmatch
-
-code sigH sigO clock clock_pol
-       if (ffFJKG) {
-               sigH = port(ffFJKG, \Q);
-               for (auto b : sigH)
-                       if (b.wire->get_bool_attribute(\keep))
-                               reject;
-
-               SigBit c = port(ffFJKG, \CLK).as_bit();
-               bool cp = param(ffFJKG, \CLK_POLARITY).as_bool();
-
-               if (clock != SigBit() && (c != clock || cp != clock_pol))
-                       reject;
+code argD argSdff ffFJKG sigH clock clock_pol
+       if (nusers(sigH) == 2 &&
+                       (mul->type != \SB_MAC16 ||
+                        (!param(mul, \TOP_8x8_MULT_REG).as_bool() && !param(mul, \BOT_8x8_MULT_REG).as_bool() && !param(mul, \PIPELINE_16x16_MULT_REG1).as_bool() && !param(mul, \PIPELINE_16x16_MULT_REG1).as_bool()))) {
+               argD = sigH;
+               argSdff = false;
+               subpattern(out_dffe);
+               if (dff) {
+                       // F/J/K/G do not have a CE-like (hold) input
+                       if (dff->hasPort(\EN))
+                               goto reject_ffFJKG;
+
+                       // Reset signal of F/J (IRSTTOP) and K/G (IRSTBOT)
+                       //   shared with A and B
+                       if (ffA) {
+                               if (ffA->hasPort(\ARST) != dff->hasPort(\ARST))
+                                       goto reject_ffFJKG;
+                               if (ffA->hasPort(\ARST)) {
+                                       if (port(ffA, \ARST) != port(dff, \ARST))
+                                               goto reject_ffFJKG;
+                                       if (param(ffA, \ARST_POLARITY) != param(dff, \ARST_POLARITY))
+                                               goto reject_ffFJKG;
+                               }
+                       }
+                       if (ffB) {
+                               if (ffB->hasPort(\ARST) != dff->hasPort(\ARST))
+                                       goto reject_ffFJKG;
+                               if (ffB->hasPort(\ARST)) {
+                                       if (port(ffB, \ARST) != port(dff, \ARST))
+                                               goto reject_ffFJKG;
+                                       if (param(ffB, \ARST_POLARITY) != param(dff, \ARST_POLARITY))
+                                               goto reject_ffFJKG;
+                               }
+                       }
+
+                       ffFJKG = dff;
+                       clock = dffclock;
+                       clock_pol = dffclock_pol;
+                       sigH = dffQ;
+
+reject_ffFJKG:                 ;
+               }
+       }
+endcode
 
-               clock = c;
-               clock_pol = cp;
+code argD argSdff ffH sigH sigO clock clock_pol
+       if (ffFJKG && nusers(sigH) == 2 &&
+                       (mul->type != \SB_MAC16 || !param(mul, \PIPELINE_16x16_MULT_REG2).as_bool())) {
+               argD = sigH;
+               argSdff = false;
+               subpattern(out_dffe);
+               if (dff) {
+                       // H does not have a CE-like (hold) input
+                       if (dff->hasPort(\EN))
+                               goto reject_ffH;
+
+                       // Reset signal of H (IRSTBOT) shared with B
+                       if (ffB->hasPort(\ARST) != dff->hasPort(\ARST))
+                               goto reject_ffH;
+                       if (ffB->hasPort(\ARST)) {
+                               if (port(ffB, \ARST) != port(dff, \ARST))
+                                       goto reject_ffH;
+                               if (param(ffB, \ARST_POLARITY) != param(dff, \ARST_POLARITY))
+                                       goto reject_ffH;
+                       }
+
+                       ffH = dff;
+                       clock = dffclock;
+                       clock_pol = dffclock_pol;
+                       sigH = dffQ;
+
+reject_ffH:            ;
+               }
        }
 
        sigO = sigH;
 endcode
 
-match addA
-       select addA->type.in($add)
-       select nusers(port(addA, \A)) == 2
-       filter param(addA, \A_WIDTH).as_int() <= GetSize(sigH)
-       //index <SigSpec> port(addA, \A) === sigH.extract(0, param(addA, \A_WIDTH).as_int())
-       filter port(addA, \A) ==  sigH.extract(0, param(addA, \A_WIDTH).as_int())
-       optional
-endmatch
+match add
+       if mul->type != \SB_MAC16 || (param(mul, \TOPOUTPUT_SELECT).as_int() == 3 && param(mul, \BOTOUTPUT_SELECT).as_int() == 3)
+
+       select add->type.in($add)
+       choice <IdString> AB {\A, \B}
+       select nusers(port(add, AB)) == 2
 
-match addB
-       if !addA
-       select addB->type.in($add, $sub)
-       select nusers(port(addB, \B)) == 2
-       filter param(addB, \B_WIDTH).as_int() <= GetSize(sigH)
-       //index <SigSpec> port(addB, \B) === sigH.extract(0, param(addB, \B_WIDTH).as_int())
-       filter port(addB, \B) ==  sigH.extract(0, param(addB, \B_WIDTH).as_int())
+       index <SigBit> port(add, AB)[0] === sigH[0]
+       filter GetSize(port(add, AB)) <= GetSize(sigH)
+       filter port(add, AB) == sigH.extract(0, GetSize(port(add, AB)))
+       filter nusers(sigH.extract_end(GetSize(port(add, AB)))) <= 1
+       set addAB AB
        optional
 endmatch
 
-code addAB sigCD sigO cd_signed
-       if (addA) {
-               addAB = addA;
-               sigCD = port(addAB, \B);
-               cd_signed = param(addAB, \B_SIGNED).as_bool();
-       }
-       else if (addB) {
-               addAB = addB;
-               sigCD = port(addAB, \A);
-               cd_signed = param(addAB, \A_SIGNED).as_bool();
-       }
-       if (addAB) {
-               if (mul->type == \SB_MAC16) {
-                       // Ensure that adder is not used
-                       if (param(mul, \TOPOUTPUT_SELECT).as_int() != 3 ||
-                                       param(mul, \BOTOUTPUT_SELECT).as_int() != 3)
-                               reject;
-               }
+code sigCD sigO cd_signed
+       if (add) {
+               sigCD = port(add, addAB == \A ? \B : \A);
+               cd_signed = param(add, addAB == \A ? \B_SIGNED : \A_SIGNED).as_bool();
 
                int natural_mul_width = GetSize(sigA) + GetSize(sigB);
                int actual_mul_width = GetSize(sigH);
@@ -171,102 +190,228 @@ code addAB sigCD sigO cd_signed
                if ((actual_acc_width > actual_mul_width) && (natural_mul_width > actual_mul_width))
                        reject;
                // If accumulator, check adder width and signedness
-               if (sigCD == sigH && (actual_acc_width != actual_mul_width) && (param(mul, \A_SIGNED).as_bool() != param(addAB, \A_SIGNED).as_bool()))
+               if (sigCD == sigH && (actual_acc_width != actual_mul_width) && (param(mul, \A_SIGNED).as_bool() != param(add, \A_SIGNED).as_bool()))
                        reject;
 
-               sigO = port(addAB, \Y);
+               sigO = port(add, \Y);
        }
 endcode
 
-match muxA
-       select muxA->type.in($mux)
-       index <int> nusers(port(muxA, \A)) === 2
-       index <SigSpec> port(muxA, \A) === sigO
+match mux
+       select mux->type == $mux
+       choice <IdString> AB {\A, \B}
+       select nusers(port(mux, AB)) == 2
+       index <SigSpec> port(mux, AB) === sigO
+       set muxAB AB
        optional
 endmatch
 
-match muxB
-       if !muxA
-       select muxB->type.in($mux)
-       index <int> nusers(port(muxB, \B)) === 2
-       index <SigSpec> port(muxB, \B) === sigO
-       optional
-endmatch
-
-code muxAB sigO
-       if (muxA)
-               muxAB = muxA;
-       else if (muxB)
-               muxAB = muxB;
-       if (muxAB)
-               sigO = port(muxAB, \Y);
+code sigO
+       if (mux)
+               sigO = port(mux, \Y);
 endcode
 
-match ffO
-       // Ensure that register is not already used
-       if mul->type != \SB_MAC16 || (mul->parameters.at(\TOPOUTPUT_SELECT, 0).as_int() != 1 && mul->parameters.at(\BOTOUTPUT_SELECT, 0).as_int() != 1)
-       // Ensure that OLOADTOP/OLOADBOT is unused or zero
-       if mul->type != \SB_MAC16 || (mul->connections_.at(\OLOADTOP, State::S0).is_fully_zero() && mul->connections_.at(\OLOADBOT, State::S0).is_fully_zero())
-       if nusers(sigO) == 2
-       select ffO->type.in($dff)
-       filter GetSize(port(ffO, \D)) >= GetSize(sigO)
-       slice offset GetSize(port(ffO, \D))
-       filter offset+GetSize(sigO) <= GetSize(port(ffO, \D)) && port(ffO, \D).extract(offset, GetSize(sigO)) == sigO
-       optional
-endmatch
-
-match ffO_lo
-       if !ffO && GetSize(sigO) > 16
-       // Ensure that register is not already used
-       if mul->type != \SB_MAC16 || (mul->parameters.at(\TOPOUTPUT_SELECT, 0).as_int() != 1 && mul->parameters.at(\BOTOUTPUT_SELECT, 0).as_int() != 1)
-       // Ensure that OLOADTOP/OLOADBOT is unused or zero
-       if mul->type != \SB_MAC16 || (mul->connections_.at(\OLOADTOP, State::S0).is_fully_zero() && mul->connections_.at(\OLOADBOT, State::S0).is_fully_zero())
-       if nusers(sigO.extract(0, 16)) == 2
-       select ffO_lo->type.in($dff)
-       filter GetSize(port(ffO_lo, \D)) >= 16
-       slice offset GetSize(port(ffO_lo, \D))
-       filter offset+GetSize(sigO) <= GetSize(port(ffO_lo, \D)) && port(ffO_lo, \D).extract(offset, 16) == sigO.extract(0, 16)
-       optional
-endmatch
+code argD argSdff ffO sigO sigCD clock clock_pol cd_signed o_lo
+       if (mul->type != \SB_MAC16 ||
+                       // Ensure that register is not already used
+                       ((param(mul, \TOPOUTPUT_SELECT).as_int() != 1 && param(mul, \BOTOUTPUT_SELECT).as_int() != 1) &&
+                        // Ensure that OLOADTOP/OLOADBOT is unused or zero
+                        (port(mul, \OLOADTOP, State::S0).is_fully_zero() && port(mul, \OLOADBOT, State::S0).is_fully_zero()))) {
 
-code ffO clock clock_pol sigO sigCD cd_signed
-       if (ffO_lo) {
-               log_assert(!ffO);
-               ffO = ffO_lo;
-       }
-       if (ffO) {
-               for (auto b : port(ffO, \Q))
-                       if (b.wire->get_bool_attribute(\keep))
-                               reject;
+               dff = nullptr;
 
-               SigBit c = port(ffO, \CLK).as_bit();
-               bool cp = param(ffO, \CLK_POLARITY).as_bool();
+               // First try entire sigO
+               if (nusers(sigO) == 2) {
+                       argD = sigO;
+                       argSdff = !mux;
+                       subpattern(out_dffe);
+               }
 
-               if (clock != SigBit() && (c != clock || cp != clock_pol))
-                       reject;
+               // Otherwise try just its least significant 16 bits
+               if (!dff && GetSize(sigO) > 16) {
+                       argD = sigO.extract(0, 16);
+                       if (nusers(argD) == 2) {
+                               argSdff = !mux;
+                               subpattern(out_dffe);
+                               o_lo = dff;
+                       }
+               }
 
-               clock = c;
-               clock_pol = cp;
+               if (dff) {
+                       ffO = dff;
+                       clock = dffclock;
+                       clock_pol = dffclock_pol;
 
-               sigO.replace(port(ffO, \D), port(ffO, \Q));
+                       sigO.replace(sigO.extract(0, GetSize(dffQ)), dffQ);
+               }
 
                // Loading value into output register is not
                //   supported unless using accumulator
-               if (muxAB) {
+               if (mux) {
                        if (sigCD != sigO)
                                reject;
-                       if (muxA)
-                               sigCD = port(muxAB, \B);
-                       else if (muxB)
-                               sigCD = port(muxAB, \A);
-                       else log_abort();
+                       sigCD = port(mux, muxAB == \B ? \A : \B);
+
+                       cd_signed = add && param(add, \A_SIGNED).as_bool() && param(add, \B_SIGNED).as_bool();
+               } else if (dff && dff->hasPort(\SRST)) {
+                       if (sigCD != sigO)
+                               reject;
+                       sigCD = param(dff, \SRST_VALUE);
+
+                       cd_signed = add && param(add, \A_SIGNED).as_bool() && param(add, \B_SIGNED).as_bool();
+               }
+       }
+endcode
 
-                       cd_signed = addAB && param(addAB, \A_SIGNED).as_bool() && param(addAB, \B_SIGNED).as_bool();
+code argQ ffCD sigCD clock clock_pol
+       if (!sigCD.empty() && sigCD != sigO &&
+                       (mul->type != \SB_MAC16 || (!param(mul, \C_REG).as_bool() && !param(mul, \D_REG).as_bool()))) {
+               argQ = sigCD;
+               subpattern(in_dffe);
+               if (dff) {
+                       // Reset signal of C (IRSTTOP) and D (IRSTBOT)
+                       //   shared with A and B
+                       if (ffA) {
+                               if (ffA->hasPort(\ARST) != dff->hasPort(\ARST))
+                                       goto reject_ffCD;
+                               if (ffA->hasPort(\ARST)) {
+                                       if (port(ffA, \ARST) != port(dff, \ARST))
+                                               goto reject_ffCD;
+                                       if (param(ffA, \ARST_POLARITY) != param(dff, \ARST_POLARITY))
+                                               goto reject_ffCD;
+                               }
+                       }
+                       if (ffB) {
+                               if (ffB->hasPort(\ARST) != dff->hasPort(\ARST))
+                                       goto reject_ffCD;
+                               if (ffB->hasPort(\ARST)) {
+                                       if (port(ffB, \ARST) != port(dff, \ARST))
+                                               goto reject_ffCD;
+                                       if (param(ffB, \ARST_POLARITY) != param(dff, \ARST_POLARITY))
+                                               goto reject_ffCD;
+                               }
+                       }
+
+                       ffCD = dff;
+                       clock = dffclock;
+                       clock_pol = dffclock_pol;
+                       sigCD = dffD;
+
+reject_ffCD:           ;
                }
        }
+endcode
+
+code sigCD
        sigCD.extend_u0(32, cd_signed);
 endcode
 
 code
        accept;
 endcode
+
+// #######################
+
+subpattern in_dffe
+arg argD argQ clock clock_pol
+
+code
+       dff = nullptr;
+       if (argQ.empty())
+               reject;
+       for (auto c : argQ.chunks()) {
+               if (!c.wire)
+                       reject;
+               if (c.wire->get_bool_attribute(\keep))
+                       reject;
+               Const init = c.wire->attributes.at(\init, State::Sx);
+               if (!init.is_fully_undef() && !init.is_fully_zero())
+                       reject;
+       }
+endcode
+
+match ff
+       select ff->type.in($dff, $dffe)
+       // DSP48E1 does not support clock inversion
+       select param(ff, \CLK_POLARITY).as_bool()
+
+       slice offset GetSize(port(ff, \D))
+       index <SigBit> port(ff, \Q)[offset] === argQ[0]
+
+       // Check that the rest of argQ is present
+       filter GetSize(port(ff, \Q)) >= offset + GetSize(argQ)
+       filter port(ff, \Q).extract(offset, GetSize(argQ)) == argQ
+endmatch
+
+code argQ argD
+{
+       if (clock != SigBit()) {
+               if (port(ff, \CLK) != clock)
+                       reject;
+               if (param(ff, \CLK_POLARITY).as_bool() != clock_pol)
+                       reject;
+       }
+
+       SigSpec Q = port(ff, \Q);
+       dff = ff;
+       dffclock = port(ff, \CLK);
+       dffclock_pol = param(ff, \CLK_POLARITY).as_bool();
+       dffD = argQ;
+       argD = port(ff, \D);
+       argQ = Q;
+       dffD.replace(argQ, argD);
+}
+endcode
+
+// #######################
+
+subpattern out_dffe
+arg argD argSdff argQ clock clock_pol
+
+code
+       dff = nullptr;
+       for (auto c : argD.chunks())
+               if (c.wire->get_bool_attribute(\keep))
+                       reject;
+endcode
+
+match ff
+       select ff->type.in($dff, $dffe, $sdff, $sdffce)
+       // SB_MAC16 does not support clock inversion
+       select param(ff, \CLK_POLARITY).as_bool()
+
+       slice offset GetSize(port(ff, \D))
+       index <SigBit> port(ff, \D)[offset] === argD[0]
+
+       // Only allow sync reset if requested.
+       filter argSdff || ff->type.in($dff, $dffe)
+       // Check that the rest of argD is present
+       filter GetSize(port(ff, \D)) >= offset + GetSize(argD)
+       filter port(ff, \D).extract(offset, GetSize(argD)) == argD
+endmatch
+
+code argQ
+       if (ff) {
+               if (clock != SigBit()) {
+                       if (port(ff, \CLK) != clock)
+                               reject;
+                       if (param(ff, \CLK_POLARITY).as_bool() != clock_pol)
+                               reject;
+               }
+               SigSpec D = port(ff, \D);
+               SigSpec Q = port(ff, \Q);
+               argQ = argD;
+               argQ.replace(D, Q);
+
+               for (auto c : argQ.chunks()) {
+                       Const init = c.wire->attributes.at(\init, State::Sx);
+                       if (!init.is_fully_undef() && !init.is_fully_zero())
+                               reject;
+               }
+
+               dff = ff;
+               dffQ = argQ;
+               dffclock = port(ff, \CLK);
+               dffclock_pol = param(ff, \CLK_POLARITY).as_bool();
+       }
+endcode