Merge pull request #1637 from YosysHQ/mwk/fix-1634
[yosys.git] / passes / pmgen / peepopt_shiftmul.pmg
index fe861b728301d0786a5acca528ebdde562d1192f..d4748ae19e4fd9e9980cd3d777b64a094fd7bb65 100644 (file)
@@ -1,4 +1,7 @@
 pattern shiftmul
+//
+// Optimize mul+shift pairs that result from expressions such as foo[s*W+:W]
+//
 
 state <SigSpec> shamt
 
@@ -31,6 +34,7 @@ match mul
 endmatch
 
 code
+{
        IdString const_factor_port = port(mul, \A).is_fully_const() ? \A : \B;
        IdString const_factor_signed = const_factor_port == \A ? \A_SIGNED : \B_SIGNED;
        Const const_factor_cnst = port(mul, const_factor_port).as_const();
@@ -49,12 +53,16 @@ code
        if (GetSize(port(shift, \Y)) > const_factor)
                reject;
 
+       int factor_bits = ceil_log2(const_factor);
+       SigSpec mul_din = port(mul, const_factor_port == \A ? \B : \A);
+
+       if (GetSize(shamt) < factor_bits+GetSize(mul_din))
+               reject;
+
        did_something = true;
        log("shiftmul pattern in %s: shift=%s, mul=%s\n", log_id(module), log_id(shift), log_id(mul));
 
-       int new_const_factor_log2 = ceil_log2(const_factor);
-       int new_const_factor = 1 << new_const_factor_log2;
-
+       int new_const_factor = 1 << factor_bits;
        SigSpec padding(State::Sx, new_const_factor-const_factor);
        SigSpec old_a = port(shift, \A), new_a;
        int trunc = 0;
@@ -73,7 +81,7 @@ code
        if (trunc > 0)
                new_a.remove(GetSize(new_a)-trunc, trunc);
 
-       SigSpec new_b = {port(mul, const_factor_port == \A ? \B : \A), SigSpec(State::S0, new_const_factor_log2)};
+       SigSpec new_b = {mul_din, SigSpec(State::S0, factor_bits)};
        if (param(shift, \B_SIGNED).as_bool())
                new_b.append(State::S0);
 
@@ -83,5 +91,6 @@ code
        shift->setParam(\B_WIDTH, GetSize(new_b));
 
        blacklist(shift);
-       reject;
+       accept;
+}
 endcode