Merge branch 'master' into map_cells_before_map_luts
[yosys.git] / passes / proc / proc_dff.cc
index 91cafe3be08709e2150f9c09b9aef87e1ef5551e..519d35cd6b4b93712e926ceac1887862cccb320d 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
 #include <stdlib.h>
 #include <stdio.h>
 
-static RTLIL::SigSpec find_any_lvalue(const RTLIL::Process *proc)
+USING_YOSYS_NAMESPACE
+PRIVATE_NAMESPACE_BEGIN
+
+RTLIL::SigSpec find_any_lvalue(const RTLIL::Process *proc)
 {
        RTLIL::SigSpec lvalue;
 
@@ -50,7 +53,7 @@ static RTLIL::SigSpec find_any_lvalue(const RTLIL::Process *proc)
        return lvalue;
 }
 
-static void gen_dffsr_complex(RTLIL::Module *mod, RTLIL::SigSpec sig_d, RTLIL::SigSpec sig_q, RTLIL::SigSpec clk, bool clk_polarity,
+void gen_dffsr_complex(RTLIL::Module *mod, RTLIL::SigSpec sig_d, RTLIL::SigSpec sig_q, RTLIL::SigSpec clk, bool clk_polarity,
                std::map<RTLIL::SigSpec, std::set<RTLIL::SyncRule*>> &async_rules, RTLIL::Process *proc)
 {
        RTLIL::SigSpec sig_sr_set = RTLIL::SigSpec(0, sig_d.size());
@@ -76,8 +79,8 @@ static void gen_dffsr_complex(RTLIL::Module *mod, RTLIL::SigSpec sig_d, RTLIL::S
                        cell->parameters["\\A_SIGNED"] = RTLIL::Const(0);
                        cell->parameters["\\A_WIDTH"] = RTLIL::Const(sync_low_signals.size());
                        cell->parameters["\\Y_WIDTH"] = RTLIL::Const(1);
-                       cell->set("\\A", sync_low_signals);
-                       cell->set("\\Y", sync_low_signals = mod->addWire(NEW_ID));
+                       cell->setPort("\\A", sync_low_signals);
+                       cell->setPort("\\Y", sync_low_signals = mod->addWire(NEW_ID));
                }
 
                if (sync_low_signals.size() > 0) {
@@ -85,9 +88,9 @@ static void gen_dffsr_complex(RTLIL::Module *mod, RTLIL::SigSpec sig_d, RTLIL::S
                        cell->parameters["\\A_SIGNED"] = RTLIL::Const(0);
                        cell->parameters["\\A_WIDTH"] = RTLIL::Const(sync_low_signals.size());
                        cell->parameters["\\Y_WIDTH"] = RTLIL::Const(1);
-                       cell->set("\\A", sync_low_signals);
-                       cell->set("\\Y", mod->addWire(NEW_ID));
-                       sync_high_signals.append(cell->get("\\Y"));
+                       cell->setPort("\\A", sync_low_signals);
+                       cell->setPort("\\Y", mod->addWire(NEW_ID));
+                       sync_high_signals.append(cell->getPort("\\Y"));
                }
 
                if (sync_high_signals.size() > 1) {
@@ -95,34 +98,34 @@ static void gen_dffsr_complex(RTLIL::Module *mod, RTLIL::SigSpec sig_d, RTLIL::S
                        cell->parameters["\\A_SIGNED"] = RTLIL::Const(0);
                        cell->parameters["\\A_WIDTH"] = RTLIL::Const(sync_high_signals.size());
                        cell->parameters["\\Y_WIDTH"] = RTLIL::Const(1);
-                       cell->set("\\A", sync_high_signals);
-                       cell->set("\\Y", sync_high_signals = mod->addWire(NEW_ID));
+                       cell->setPort("\\A", sync_high_signals);
+                       cell->setPort("\\Y", sync_high_signals = mod->addWire(NEW_ID));
                }
 
                RTLIL::Cell *inv_cell = mod->addCell(NEW_ID, "$not");
                inv_cell->parameters["\\A_SIGNED"] = RTLIL::Const(0);
                inv_cell->parameters["\\A_WIDTH"] = RTLIL::Const(sig_d.size());
                inv_cell->parameters["\\Y_WIDTH"] = RTLIL::Const(sig_d.size());
-               inv_cell->set("\\A", sync_value);
-               inv_cell->set("\\Y", sync_value_inv = mod->addWire(NEW_ID, sig_d.size()));
+               inv_cell->setPort("\\A", sync_value);
+               inv_cell->setPort("\\Y", sync_value_inv = mod->addWire(NEW_ID, sig_d.size()));
 
                RTLIL::Cell *mux_set_cell = mod->addCell(NEW_ID, "$mux");
                mux_set_cell->parameters["\\WIDTH"] = RTLIL::Const(sig_d.size());
-               mux_set_cell->set("\\A", sig_sr_set);
-               mux_set_cell->set("\\B", sync_value);
-               mux_set_cell->set("\\S", sync_high_signals);
-               mux_set_cell->set("\\Y", sig_sr_set = mod->addWire(NEW_ID, sig_d.size()));
+               mux_set_cell->setPort("\\A", sig_sr_set);
+               mux_set_cell->setPort("\\B", sync_value);
+               mux_set_cell->setPort("\\S", sync_high_signals);
+               mux_set_cell->setPort("\\Y", sig_sr_set = mod->addWire(NEW_ID, sig_d.size()));
 
                RTLIL::Cell *mux_clr_cell = mod->addCell(NEW_ID, "$mux");
                mux_clr_cell->parameters["\\WIDTH"] = RTLIL::Const(sig_d.size());
-               mux_clr_cell->set("\\A", sig_sr_clr);
-               mux_clr_cell->set("\\B", sync_value_inv);
-               mux_clr_cell->set("\\S", sync_high_signals);
-               mux_clr_cell->set("\\Y", sig_sr_clr = mod->addWire(NEW_ID, sig_d.size()));
+               mux_clr_cell->setPort("\\A", sig_sr_clr);
+               mux_clr_cell->setPort("\\B", sync_value_inv);
+               mux_clr_cell->setPort("\\S", sync_high_signals);
+               mux_clr_cell->setPort("\\Y", sig_sr_clr = mod->addWire(NEW_ID, sig_d.size()));
        }
 
        std::stringstream sstr;
-       sstr << "$procdff$" << (RTLIL::autoidx++);
+       sstr << "$procdff$" << (autoidx++);
 
        RTLIL::Cell *cell = mod->addCell(sstr.str(), "$dffsr");
        cell->attributes = proc->attributes;
@@ -130,21 +133,21 @@ static void gen_dffsr_complex(RTLIL::Module *mod, RTLIL::SigSpec sig_d, RTLIL::S
        cell->parameters["\\CLK_POLARITY"] = RTLIL::Const(clk_polarity, 1);
        cell->parameters["\\SET_POLARITY"] = RTLIL::Const(true, 1);
        cell->parameters["\\CLR_POLARITY"] = RTLIL::Const(true, 1);
-       cell->set("\\D", sig_d);
-       cell->set("\\Q", sig_q);
-       cell->set("\\CLK", clk);
-       cell->set("\\SET", sig_sr_set);
-       cell->set("\\CLR", sig_sr_clr);
+       cell->setPort("\\D", sig_d);
+       cell->setPort("\\Q", sig_q);
+       cell->setPort("\\CLK", clk);
+       cell->setPort("\\SET", sig_sr_set);
+       cell->setPort("\\CLR", sig_sr_clr);
 
        log("  created %s cell `%s' with %s edge clock and multiple level-sensitive resets.\n",
                        cell->type.c_str(), cell->name.c_str(), clk_polarity ? "positive" : "negative");
 }
 
-static void gen_dffsr(RTLIL::Module *mod, RTLIL::SigSpec sig_in, RTLIL::SigSpec sig_set, RTLIL::SigSpec sig_out,
+void gen_dffsr(RTLIL::Module *mod, RTLIL::SigSpec sig_in, RTLIL::SigSpec sig_set, RTLIL::SigSpec sig_out,
                bool clk_polarity, bool set_polarity, RTLIL::SigSpec clk, RTLIL::SigSpec set, RTLIL::Process *proc)
 {
        std::stringstream sstr;
-       sstr << "$procdff$" << (RTLIL::autoidx++);
+       sstr << "$procdff$" << (autoidx++);
 
        RTLIL::SigSpec sig_set_inv = mod->addWire(NEW_ID, sig_in.size());
        RTLIL::SigSpec sig_sr_set = mod->addWire(NEW_ID, sig_in.size());
@@ -154,22 +157,22 @@ static void gen_dffsr(RTLIL::Module *mod, RTLIL::SigSpec sig_in, RTLIL::SigSpec
        inv_set->parameters["\\A_SIGNED"] = RTLIL::Const(0);
        inv_set->parameters["\\A_WIDTH"] = RTLIL::Const(sig_in.size());
        inv_set->parameters["\\Y_WIDTH"] = RTLIL::Const(sig_in.size());
-       inv_set->set("\\A", sig_set);
-       inv_set->set("\\Y", sig_set_inv);
+       inv_set->setPort("\\A", sig_set);
+       inv_set->setPort("\\Y", sig_set_inv);
 
        RTLIL::Cell *mux_sr_set = mod->addCell(NEW_ID, "$mux");
        mux_sr_set->parameters["\\WIDTH"] = RTLIL::Const(sig_in.size());
-       mux_sr_set->set(set_polarity ? "\\A" : "\\B", RTLIL::Const(0, sig_in.size()));
-       mux_sr_set->set(set_polarity ? "\\B" : "\\A", sig_set);
-       mux_sr_set->set("\\Y", sig_sr_set);
-       mux_sr_set->set("\\S", set);
+       mux_sr_set->setPort(set_polarity ? "\\A" : "\\B", RTLIL::Const(0, sig_in.size()));
+       mux_sr_set->setPort(set_polarity ? "\\B" : "\\A", sig_set);
+       mux_sr_set->setPort("\\Y", sig_sr_set);
+       mux_sr_set->setPort("\\S", set);
 
        RTLIL::Cell *mux_sr_clr = mod->addCell(NEW_ID, "$mux");
        mux_sr_clr->parameters["\\WIDTH"] = RTLIL::Const(sig_in.size());
-       mux_sr_clr->set(set_polarity ? "\\A" : "\\B", RTLIL::Const(0, sig_in.size()));
-       mux_sr_clr->set(set_polarity ? "\\B" : "\\A", sig_set_inv);
-       mux_sr_clr->set("\\Y", sig_sr_clr);
-       mux_sr_clr->set("\\S", set);
+       mux_sr_clr->setPort(set_polarity ? "\\A" : "\\B", RTLIL::Const(0, sig_in.size()));
+       mux_sr_clr->setPort(set_polarity ? "\\B" : "\\A", sig_set_inv);
+       mux_sr_clr->setPort("\\Y", sig_sr_clr);
+       mux_sr_clr->setPort("\\S", set);
 
        RTLIL::Cell *cell = mod->addCell(sstr.str(), "$dffsr");
        cell->attributes = proc->attributes;
@@ -177,23 +180,23 @@ static void gen_dffsr(RTLIL::Module *mod, RTLIL::SigSpec sig_in, RTLIL::SigSpec
        cell->parameters["\\CLK_POLARITY"] = RTLIL::Const(clk_polarity, 1);
        cell->parameters["\\SET_POLARITY"] = RTLIL::Const(true, 1);
        cell->parameters["\\CLR_POLARITY"] = RTLIL::Const(true, 1);
-       cell->set("\\D", sig_in);
-       cell->set("\\Q", sig_out);
-       cell->set("\\CLK", clk);
-       cell->set("\\SET", sig_sr_set);
-       cell->set("\\CLR", sig_sr_clr);
+       cell->setPort("\\D", sig_in);
+       cell->setPort("\\Q", sig_out);
+       cell->setPort("\\CLK", clk);
+       cell->setPort("\\SET", sig_sr_set);
+       cell->setPort("\\CLR", sig_sr_clr);
 
        log("  created %s cell `%s' with %s edge clock and %s level non-const reset.\n", cell->type.c_str(), cell->name.c_str(),
                        clk_polarity ? "positive" : "negative", set_polarity ? "positive" : "negative");
 }
 
-static void gen_dff(RTLIL::Module *mod, RTLIL::SigSpec sig_in, RTLIL::Const val_rst, RTLIL::SigSpec sig_out,
+void gen_dff(RTLIL::Module *mod, RTLIL::SigSpec sig_in, RTLIL::Const val_rst, RTLIL::SigSpec sig_out,
                bool clk_polarity, bool arst_polarity, RTLIL::SigSpec clk, RTLIL::SigSpec *arst, RTLIL::Process *proc)
 {
        std::stringstream sstr;
-       sstr << "$procdff$" << (RTLIL::autoidx++);
+       sstr << "$procdff$" << (autoidx++);
 
-       RTLIL::Cell *cell = mod->addCell(sstr.str(), arst ? "$adff" : "$dff");
+       RTLIL::Cell *cell = mod->addCell(sstr.str(), clk.empty() ? "$ff" : arst ? "$adff" : "$dff");
        cell->attributes = proc->attributes;
 
        cell->parameters["\\WIDTH"] = RTLIL::Const(sig_in.size());
@@ -201,21 +204,27 @@ static void gen_dff(RTLIL::Module *mod, RTLIL::SigSpec sig_in, RTLIL::Const val_
                cell->parameters["\\ARST_POLARITY"] = RTLIL::Const(arst_polarity, 1);
                cell->parameters["\\ARST_VALUE"] = val_rst;
        }
-       cell->parameters["\\CLK_POLARITY"] = RTLIL::Const(clk_polarity, 1);
+       if (!clk.empty()) {
+               cell->parameters["\\CLK_POLARITY"] = RTLIL::Const(clk_polarity, 1);
+       }
 
-       cell->set("\\D", sig_in);
-       cell->set("\\Q", sig_out);
+       cell->setPort("\\D", sig_in);
+       cell->setPort("\\Q", sig_out);
        if (arst)
-               cell->set("\\ARST", *arst);
-       cell->set("\\CLK", clk);
-
-       log("  created %s cell `%s' with %s edge clock", cell->type.c_str(), cell->name.c_str(), clk_polarity ? "positive" : "negative");
+               cell->setPort("\\ARST", *arst);
+       if (!clk.empty())
+               cell->setPort("\\CLK", clk);
+
+       if (!clk.empty())
+               log("  created %s cell `%s' with %s edge clock", cell->type.c_str(), cell->name.c_str(), clk_polarity ? "positive" : "negative");
+       else
+               log("  created %s cell `%s' with global clock", cell->type.c_str(), cell->name.c_str());
        if (arst)
                log(" and %s level reset", arst_polarity ? "positive" : "negative");
        log(".\n");
 }
 
-static void proc_dff(RTLIL::Module *mod, RTLIL::Process *proc, ConstEval &ce)
+void proc_dff(RTLIL::Module *mod, RTLIL::Process *proc, ConstEval &ce)
 {
        while (1)
        {
@@ -233,6 +242,7 @@ static void proc_dff(RTLIL::Module *mod, RTLIL::Process *proc, ConstEval &ce)
                RTLIL::SyncRule *sync_level = NULL;
                RTLIL::SyncRule *sync_edge = NULL;
                RTLIL::SyncRule *sync_always = NULL;
+               bool global_clock = false;
 
                std::map<RTLIL::SigSpec, std::set<RTLIL::SyncRule*>> many_async_rules;
 
@@ -264,6 +274,10 @@ static void proc_dff(RTLIL::Module *mod, RTLIL::Process *proc, ConstEval &ce)
                                sig.replace(action.first, action.second, &insig);
                                sync_always = sync;
                        }
+                       else if (sync->type == RTLIL::SyncType::STg) {
+                               sig.replace(action.first, action.second, &insig);
+                               global_clock = true;
+                       }
                        else {
                                log_error("Event with any-edge sensitivity found for this signal!\n");
                        }
@@ -295,9 +309,9 @@ static void proc_dff(RTLIL::Module *mod, RTLIL::Process *proc, ConstEval &ce)
                                cell->parameters["\\A_WIDTH"] = RTLIL::Const(inputs.size());
                                cell->parameters["\\B_WIDTH"] = RTLIL::Const(inputs.size());
                                cell->parameters["\\Y_WIDTH"] = RTLIL::Const(1);
-                               cell->set("\\A", inputs);
-                               cell->set("\\B", compare);
-                               cell->set("\\Y", sync_level->signal);
+                               cell->setPort("\\A", inputs);
+                               cell->setPort("\\B", compare);
+                               cell->setPort("\\Y", sync_level->signal);
 
                                many_async_rules.clear();
                        }
@@ -308,6 +322,7 @@ static void proc_dff(RTLIL::Module *mod, RTLIL::Process *proc, ConstEval &ce)
                        }
                }
 
+               SigSpec sig_q = sig;
                ce.assign_map.apply(insig);
                ce.assign_map.apply(rstval);
                ce.assign_map.apply(sig);
@@ -325,27 +340,28 @@ static void proc_dff(RTLIL::Module *mod, RTLIL::Process *proc, ConstEval &ce)
                        continue;
                }
 
-               if (!sync_edge)
+               if (!sync_edge && !global_clock)
                        log_error("Missing edge-sensitive event for this signal!\n");
 
                if (many_async_rules.size() > 0)
                {
-                       log("WARNING: Complex async reset for dff `%s'.\n", log_signal(sig));
+                       log_warning("Complex async reset for dff `%s'.\n", log_signal(sig));
                        gen_dffsr_complex(mod, insig, sig, sync_edge->signal, sync_edge->type == RTLIL::SyncType::STp, many_async_rules, proc);
                }
                else if (!rstval.is_fully_const() && !ce.eval(rstval))
                {
-                       log("WARNING: Async reset value `%s' is not constant!\n", log_signal(rstval));
-                       gen_dffsr(mod, insig, rstval, sig,
+                       log_warning("Async reset value `%s' is not constant!\n", log_signal(rstval));
+                       gen_dffsr(mod, insig, rstval, sig_q,
                                        sync_edge->type == RTLIL::SyncType::STp,
                                        sync_level && sync_level->type == RTLIL::SyncType::ST1,
                                        sync_edge->signal, sync_level->signal, proc);
                }
                else
-                       gen_dff(mod, insig, rstval.as_const(), sig,
-                                       sync_edge->type == RTLIL::SyncType::STp,
+                       gen_dff(mod, insig, rstval.as_const(), sig_q,
+                                       sync_edge && sync_edge->type == RTLIL::SyncType::STp,
                                        sync_level && sync_level->type == RTLIL::SyncType::ST1,
-                                       sync_edge->signal, sync_level ? &sync_level->signal : NULL, proc);
+                                       sync_edge ? sync_edge->signal : SigSpec(),
+                                       sync_level ? &sync_level->signal : NULL, proc);
 
                if (free_sync_level)
                        delete sync_level;
@@ -354,7 +370,7 @@ static void proc_dff(RTLIL::Module *mod, RTLIL::Process *proc, ConstEval &ce)
 
 struct ProcDffPass : public Pass {
        ProcDffPass() : Pass("proc_dff", "extract flip-flops from processes") { }
-       virtual void help()
+       void help() YS_OVERRIDE
        {
                //   |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
                log("\n");
@@ -364,9 +380,9 @@ struct ProcDffPass : public Pass {
                log("d-type flip-flop cells.\n");
                log("\n");
        }
-       virtual void execute(std::vector<std::string> args, RTLIL::Design *design)
+       void execute(std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
        {
-               log_header("Executing PROC_DFF pass (convert process syncs to FFs).\n");
+               log_header(design, "Executing PROC_DFF pass (convert process syncs to FFs).\n");
 
                extra_args(args, 1, design);
 
@@ -379,4 +395,5 @@ struct ProcDffPass : public Pass {
                        }
        }
 } ProcDffPass;
+
+PRIVATE_NAMESPACE_END