litedram: Remove variables.mak
[microwatt.git] / random.vhdl
index 063c30e0af9eb9b6f17f5bf3910cf447053cd54a..ec34e229356c044e1528644661bab14863ff7644 100644 (file)
@@ -2,8 +2,8 @@ library ieee;
 use ieee.std_logic_1164.all;
 use ieee.numeric_std.all;
 
-library work;
-use work.glibc_random.all;
+library osvvm;
+use osvvm.RandomPkg.all;
 
 entity random is
     port (
@@ -20,9 +20,10 @@ begin
 
     process(clk)
         variable rand : std_ulogic_vector(63 downto 0);
+        variable rnd : RandomPType;
     begin
         if rising_edge(clk) then
-            rand := pseudorand(64);
+            rand := rnd.RandSlv(64);
             data <= rand;
             raw <= rand;
         end if;