x86: changes to apic, keyboard
[gem5.git] / src / arch / isa_parser.py
index 9590e2453e537de3d400dfb2cb0f1a4c76972eff..ec0efe5e6a95c8ec806e9df5877b1106c286aa7c 100755 (executable)
@@ -157,7 +157,7 @@ class Template(object):
             snippetLabels = [l for l in labelRE.findall(template)
                              if d.snippets.has_key(l)]
 
-            snippets = dict([(s, mungeSnippet(d.snippets[s]))
+            snippets = dict([(s, self.parser.mungeSnippet(d.snippets[s]))
                              for s in snippetLabels])
 
             myDict.update(snippets)
@@ -168,9 +168,21 @@ class Template(object):
             # operands explicitly (like Mem)
             compositeCode += ' ' + template
 
-            operands = SubOperandList(compositeCode, d.operands)
+            operands = SubOperandList(self.parser, compositeCode, d.operands)
 
             myDict['op_decl'] = operands.concatAttrStrings('op_decl')
+            if operands.readPC or operands.setPC:
+                myDict['op_decl'] += 'TheISA::PCState __parserAutoPCState;\n'
+
+            # In case there are predicated register reads and write, declare
+            # the variables for register indicies. It is being assumed that
+            # all the operands in the OperandList are also in the
+            # SubOperandList and in the same order. Otherwise, it is
+            # expected that predication would not be used for the operands.
+            if operands.predRead:
+                myDict['op_decl'] += 'uint8_t _sourceIndex = 0;\n'
+            if operands.predWrite:
+                myDict['op_decl'] += 'uint8_t M5_VAR_USED _destIndex = 0;\n'
 
             is_src = lambda op: op.is_src
             is_dest = lambda op: op.is_dest
@@ -179,13 +191,33 @@ class Template(object):
                       operands.concatSomeAttrStrings(is_src, 'op_src_decl')
             myDict['op_dest_decl'] = \
                       operands.concatSomeAttrStrings(is_dest, 'op_dest_decl')
+            if operands.readPC:
+                myDict['op_src_decl'] += \
+                    'TheISA::PCState __parserAutoPCState;\n'
+            if operands.setPC:
+                myDict['op_dest_decl'] += \
+                    'TheISA::PCState __parserAutoPCState;\n'
 
             myDict['op_rd'] = operands.concatAttrStrings('op_rd')
-            myDict['op_wb'] = operands.concatAttrStrings('op_wb')
-
-            if d.operands.memOperand:
-                myDict['mem_acc_size'] = d.operands.memOperand.mem_acc_size
-                myDict['mem_acc_type'] = d.operands.memOperand.mem_acc_type
+            if operands.readPC:
+                myDict['op_rd'] = '__parserAutoPCState = xc->pcState();\n' + \
+                                  myDict['op_rd']
+
+            # Compose the op_wb string. If we're going to write back the
+            # PC state because we changed some of its elements, we'll need to
+            # do that as early as possible. That allows later uncoordinated
+            # modifications to the PC to layer appropriately.
+            reordered = list(operands.items)
+            reordered.reverse()
+            op_wb_str = ''
+            pcWbStr = 'xc->pcState(__parserAutoPCState);\n'
+            for op_desc in reordered:
+                if op_desc.isPCPart() and op_desc.is_dest:
+                    op_wb_str = op_desc.op_wb + pcWbStr + op_wb_str
+                    pcWbStr = ''
+                else:
+                    op_wb_str = op_desc.op_wb + op_wb_str
+            myDict['op_wb'] = op_wb_str
 
         elif isinstance(d, dict):
             # if the argument is a dictionary, we just use it.
@@ -380,34 +412,6 @@ def makeList(arg):
     else:
         return [ arg ]
 
-# Generate operandTypeMap from the user's 'def operand_types'
-# statement.
-def buildOperandTypeMap(user_dict, lineno):
-    global operandTypeMap
-    operandTypeMap = {}
-    for (ext, (desc, size)) in user_dict.iteritems():
-        if desc == 'signed int':
-            ctype = 'int%d_t' % size
-            is_signed = 1
-        elif desc == 'unsigned int':
-            ctype = 'uint%d_t' % size
-            is_signed = 0
-        elif desc == 'float':
-            is_signed = 1       # shouldn't really matter
-            if size == 32:
-                ctype = 'float'
-            elif size == 64:
-                ctype = 'double'
-        elif desc == 'twin64 int':
-            is_signed = 0
-            ctype = 'Twin64_t'
-        elif desc == 'twin32 int':
-            is_signed = 0
-            ctype = 'Twin32_t'
-        if ctype == '':
-            error(lineno, 'Unrecognized type description "%s" in user_dict')
-        operandTypeMap[ext] = (size, ctype, is_signed)
-
 class Operand(object):
     '''Base class for operand descriptors.  An instance of this class
     (or actually a class derived from this one) represents a specific
@@ -416,39 +420,32 @@ class Operand(object):
     type (e.g., "32-bit integer register").'''
 
     def buildReadCode(self, func = None):
-        code = self.read_code % {"name": self.base_name,
-                                 "func": func,
-                                 "op_idx": self.src_reg_idx,
-                                 "reg_idx": self.reg_spec,
-                                 "size": self.size,
-                                 "ctype": self.ctype}
-        if self.size != self.dflt_size:
-            return '%s = bits(%s, %d, 0);\n' % \
-                   (self.base_name, code, self.size-1)
-        else:
-            return '%s = %s;\n' % \
-                   (self.base_name, code)
+        subst_dict = {"name": self.base_name,
+                      "func": func,
+                      "reg_idx": self.reg_spec,
+                      "ctype": self.ctype}
+        if hasattr(self, 'src_reg_idx'):
+            subst_dict['op_idx'] = self.src_reg_idx
+        code = self.read_code % subst_dict
+        return '%s = %s;\n' % (self.base_name, code)
 
     def buildWriteCode(self, func = None):
-        if (self.size != self.dflt_size and self.is_signed):
-            final_val = 'sext<%d>(%s)' % (self.size, self.base_name)
-        else:
-            final_val = self.base_name
-        code = self.write_code % {"name": self.base_name,
-                                  "func": func,
-                                  "op_idx": self.dest_reg_idx,
-                                  "reg_idx": self.reg_spec,
-                                  "size": self.size,
-                                  "ctype": self.ctype,
-                                  "final_val": final_val}
+        subst_dict = {"name": self.base_name,
+                      "func": func,
+                      "reg_idx": self.reg_spec,
+                      "ctype": self.ctype,
+                      "final_val": self.base_name}
+        if hasattr(self, 'dest_reg_idx'):
+            subst_dict['op_idx'] = self.dest_reg_idx
+        code = self.write_code % subst_dict
         return '''
         {
             %s final_val = %s;
             %s;
             if (traceData) { traceData->setData(final_val); }
-        }''' % (self.dflt_ctype, final_val, code)
+        }''' % (self.dflt_ctype, self.base_name, code)
 
-    def __init__(self, full_name, ext, is_src, is_dest):
+    def __init__(self, parser, full_name, ext, is_src, is_dest):
         self.full_name = full_name
         self.ext = ext
         self.is_src = is_src
@@ -457,38 +454,32 @@ class Operand(object):
         # extension, if one was explicitly provided, or the default.
         if ext:
             self.eff_ext = ext
-        else:
+        elif hasattr(self, 'dflt_ext'):
             self.eff_ext = self.dflt_ext
 
-        (self.size, self.ctype, self.is_signed) = operandTypeMap[self.eff_ext]
-
-        # note that mem_acc_size is undefined for non-mem operands...
-        # template must be careful not to use it if it doesn't apply.
-        if self.isMem():
-            self.mem_acc_size = self.makeAccSize()
-            if self.ctype in ['Twin32_t', 'Twin64_t']:
-                self.mem_acc_type = 'Twin'
-            else:
-                self.mem_acc_type = 'uint'
+        if hasattr(self, 'eff_ext'):
+            self.ctype = parser.operandTypeMap[self.eff_ext]
 
     # Finalize additional fields (primarily code fields).  This step
     # is done separately since some of these fields may depend on the
     # register index enumeration that hasn't been performed yet at the
-    # time of __init__().
-    def finalize(self):
+    # time of __init__(). The register index enumeration is affected
+    # by predicated register reads/writes. Hence, we forward the flags
+    # that indicate whether or not predication is in use.
+    def finalize(self, predRead, predWrite):
         self.flags = self.getFlags()
-        self.constructor = self.makeConstructor()
+        self.constructor = self.makeConstructor(predRead, predWrite)
         self.op_decl = self.makeDecl()
 
         if self.is_src:
-            self.op_rd = self.makeRead()
+            self.op_rd = self.makeRead(predRead)
             self.op_src_decl = self.makeDecl()
         else:
             self.op_rd = ''
             self.op_src_decl = ''
 
         if self.is_dest:
-            self.op_wb = self.makeWrite()
+            self.op_wb = self.makeWrite(predWrite)
             self.op_dest_decl = self.makeDecl()
         else:
             self.op_wb = ''
@@ -509,6 +500,18 @@ class Operand(object):
     def isControlReg(self):
         return 0
 
+    def isPCState(self):
+        return 0
+
+    def isPCPart(self):
+        return self.isPCState() and self.reg_spec
+
+    def hasReadPred(self):
+        return self.read_predicate != None
+
+    def hasWritePred(self):
+        return self.write_predicate != None
+
     def getFlags(self):
         # note the empty slice '[:]' gives us a copy of self.flags[0]
         # instead of a reference to it
@@ -531,49 +534,68 @@ class IntRegOperand(Operand):
     def isIntReg(self):
         return 1
 
-    def makeConstructor(self):
-        c = ''
+    def makeConstructor(self, predRead, predWrite):
+        c_src = ''
+        c_dest = ''
+
         if self.is_src:
-            c += '\n\t_srcRegIdx[%d] = %s;' % \
-                 (self.src_reg_idx, self.reg_spec)
+            c_src = '\n\t_srcRegIdx[_numSrcRegs++] = %s;' % (self.reg_spec)
+            if self.hasReadPred():
+                c_src = '\n\tif (%s) {%s\n\t}' % \
+                        (self.read_predicate, c_src)
+
         if self.is_dest:
-            c += '\n\t_destRegIdx[%d] = %s;' % \
-                 (self.dest_reg_idx, self.reg_spec)
-        return c
+            c_dest = '\n\t_destRegIdx[_numDestRegs++] = %s;' % \
+                    (self.reg_spec)
+            c_dest += '\n\t_numIntDestRegs++;'
+            if self.hasWritePred():
+                c_dest = '\n\tif (%s) {%s\n\t}' % \
+                         (self.write_predicate, c_dest)
+
+        return c_src + c_dest
 
-    def makeRead(self):
+    def makeRead(self, predRead):
         if (self.ctype == 'float' or self.ctype == 'double'):
             error('Attempt to read integer register as FP')
         if self.read_code != None:
             return self.buildReadCode('readIntRegOperand')
-        if (self.size == self.dflt_size):
-            return '%s = xc->readIntRegOperand(this, %d);\n' % \
-                   (self.base_name, self.src_reg_idx)
-        elif (self.size > self.dflt_size):
-            int_reg_val = 'xc->readIntRegOperand(this, %d)' % \
-                          (self.src_reg_idx)
-            if (self.is_signed):
-                int_reg_val = 'sext<%d>(%s)' % (self.dflt_size, int_reg_val)
-            return '%s = %s;\n' % (self.base_name, int_reg_val)
+
+        int_reg_val = ''
+        if predRead:
+            int_reg_val = 'xc->readIntRegOperand(this, _sourceIndex++)'
+            if self.hasReadPred():
+                int_reg_val = '(%s) ? %s : 0' % \
+                              (self.read_predicate, int_reg_val)
         else:
-            return '%s = bits(xc->readIntRegOperand(this, %d), %d, 0);\n' % \
-                   (self.base_name, self.src_reg_idx, self.size-1)
+            int_reg_val = 'xc->readIntRegOperand(this, %d)' % self.src_reg_idx
+
+        return '%s = %s;\n' % (self.base_name, int_reg_val)
 
-    def makeWrite(self):
+    def makeWrite(self, predWrite):
         if (self.ctype == 'float' or self.ctype == 'double'):
             error('Attempt to write integer register as FP')
         if self.write_code != None:
             return self.buildWriteCode('setIntRegOperand')
-        if (self.size != self.dflt_size and self.is_signed):
-            final_val = 'sext<%d>(%s)' % (self.size, self.base_name)
+
+        if predWrite:
+            wp = 'true'
+            if self.hasWritePred():
+                wp = self.write_predicate
+
+            wcond = 'if (%s)' % (wp)
+            windex = '_destIndex++'
         else:
-            final_val = self.base_name
+            wcond = ''
+            windex = '%d' % self.dest_reg_idx
+
         wb = '''
+        %s
         {
             %s final_val = %s;
-            xc->setIntRegOperand(this, %d, final_val);\n
+            xc->setIntRegOperand(this, %s, final_val);\n
             if (traceData) { traceData->setData(final_val); }
-        }''' % (self.dflt_ctype, final_val, self.dest_reg_idx)
+        }''' % (wcond, self.ctype, self.base_name, windex)
+
         return wb
 
 class FloatRegOperand(Operand):
@@ -583,53 +605,59 @@ class FloatRegOperand(Operand):
     def isFloatReg(self):
         return 1
 
-    def makeConstructor(self):
-        c = ''
+    def makeConstructor(self, predRead, predWrite):
+        c_src = ''
+        c_dest = ''
+
         if self.is_src:
-            c += '\n\t_srcRegIdx[%d] = %s + FP_Base_DepTag;' % \
-                 (self.src_reg_idx, self.reg_spec)
+            c_src = '\n\t_srcRegIdx[_numSrcRegs++] = %s + FP_Base_DepTag;' % \
+                    (self.reg_spec)
+
         if self.is_dest:
-            c += '\n\t_destRegIdx[%d] = %s + FP_Base_DepTag;' % \
-                 (self.dest_reg_idx, self.reg_spec)
-        return c
+            c_dest = \
+              '\n\t_destRegIdx[_numDestRegs++] = %s + FP_Base_DepTag;' % \
+              (self.reg_spec)
+            c_dest += '\n\t_numFPDestRegs++;'
+
+        return c_src + c_dest
 
-    def makeRead(self):
+    def makeRead(self, predRead):
         bit_select = 0
         if (self.ctype == 'float' or self.ctype == 'double'):
             func = 'readFloatRegOperand'
         else:
             func = 'readFloatRegOperandBits'
-            if (self.size != self.dflt_size):
-                bit_select = 1
-        base = 'xc->%s(this, %d)' % (func, self.src_reg_idx)
         if self.read_code != None:
             return self.buildReadCode(func)
-        if bit_select:
-            return '%s = bits(%s, %d, 0);\n' % \
-                   (self.base_name, base, self.size-1)
+
+        if predRead:
+            rindex = '_sourceIndex++'
         else:
-            return '%s = %s;\n' % (self.base_name, base)
+            rindex = '%d' % self.src_reg_idx
+
+        return '%s = xc->%s(this, %s);\n' % \
+            (self.base_name, func, rindex)
 
-    def makeWrite(self):
-        final_val = self.base_name
-        final_ctype = self.ctype
+    def makeWrite(self, predWrite):
         if (self.ctype == 'float' or self.ctype == 'double'):
             func = 'setFloatRegOperand'
-        elif (self.ctype == 'uint32_t' or self.ctype == 'uint64_t'):
-            func = 'setFloatRegOperandBits'
         else:
             func = 'setFloatRegOperandBits'
-            final_ctype = 'uint%d_t' % self.dflt_size
-            if (self.size != self.dflt_size and self.is_signed):
-                final_val = 'sext<%d>(%s)' % (self.size, self.base_name)
         if self.write_code != None:
             return self.buildWriteCode(func)
+
+        if predWrite:
+            wp = '_destIndex++'
+        else:
+            wp = '%d' % self.dest_reg_idx
+        wp = 'xc->%s(this, %s, final_val);' % (func, wp)
+
         wb = '''
         {
             %s final_val = %s;
-            xc->%s(this, %d, final_val);\n
+            %s\n
             if (traceData) { traceData->setData(final_val); }
-        }''' % (final_ctype, final_val, func, self.dest_reg_idx)
+        }''' % (self.ctype, self.base_name, wp)
         return wb
 
 class ControlRegOperand(Operand):
@@ -639,221 +667,108 @@ class ControlRegOperand(Operand):
     def isControlReg(self):
         return 1
 
-    def makeConstructor(self):
-        c = ''
+    def makeConstructor(self, predRead, predWrite):
+        c_src = ''
+        c_dest = ''
+
         if self.is_src:
-            c += '\n\t_srcRegIdx[%d] = %s + Ctrl_Base_DepTag;' % \
-                 (self.src_reg_idx, self.reg_spec)
+            c_src = \
+              '\n\t_srcRegIdx[_numSrcRegs++] = %s + Ctrl_Base_DepTag;' % \
+              (self.reg_spec)
+
         if self.is_dest:
-            c += '\n\t_destRegIdx[%d] = %s + Ctrl_Base_DepTag;' % \
-                 (self.dest_reg_idx, self.reg_spec)
-        return c
+            c_dest = \
+              '\n\t_destRegIdx[_numDestRegs++] = %s + Ctrl_Base_DepTag;' % \
+              (self.reg_spec)
+
+        return c_src + c_dest
 
-    def makeRead(self):
+    def makeRead(self, predRead):
         bit_select = 0
         if (self.ctype == 'float' or self.ctype == 'double'):
             error('Attempt to read control register as FP')
         if self.read_code != None:
             return self.buildReadCode('readMiscRegOperand')
-        base = 'xc->readMiscRegOperand(this, %s)' % self.src_reg_idx
-        if self.size == self.dflt_size:
-            return '%s = %s;\n' % (self.base_name, base)
+
+        if predRead:
+            rindex = '_sourceIndex++'
         else:
-            return '%s = bits(%s, %d, 0);\n' % \
-                   (self.base_name, base, self.size-1)
+            rindex = '%d' % self.src_reg_idx
+
+        return '%s = xc->readMiscRegOperand(this, %s);\n' % \
+            (self.base_name, rindex)
 
-    def makeWrite(self):
+    def makeWrite(self, predWrite):
         if (self.ctype == 'float' or self.ctype == 'double'):
             error('Attempt to write control register as FP')
         if self.write_code != None:
             return self.buildWriteCode('setMiscRegOperand')
+
+        if predWrite:
+            windex = '_destIndex++'
+        else:
+            windex = '%d' % self.dest_reg_idx
+
         wb = 'xc->setMiscRegOperand(this, %s, %s);\n' % \
-             (self.dest_reg_idx, self.base_name)
+             (windex, self.base_name)
         wb += 'if (traceData) { traceData->setData(%s); }' % \
               self.base_name
+
         return wb
 
 class MemOperand(Operand):
     def isMem(self):
         return 1
 
-    def makeConstructor(self):
+    def makeConstructor(self, predRead, predWrite):
         return ''
 
     def makeDecl(self):
         # Note that initializations in the declarations are solely
         # to avoid 'uninitialized variable' errors from the compiler.
         # Declare memory data variable.
-        if self.ctype in ['Twin32_t','Twin64_t']:
-            return "%s %s; %s.a = 0; %s.b = 0;\n" % \
-                   (self.ctype, self.base_name, self.base_name, self.base_name)
         return '%s %s = 0;\n' % (self.ctype, self.base_name)
 
-    def makeRead(self):
+    def makeRead(self, predRead):
         if self.read_code != None:
             return self.buildReadCode()
         return ''
 
-    def makeWrite(self):
+    def makeWrite(self, predWrite):
         if self.write_code != None:
             return self.buildWriteCode()
         return ''
 
-    # Return the memory access size *in bits*, suitable for
-    # forming a type via "uint%d_t".  Divide by 8 if you want bytes.
-    def makeAccSize(self):
-        return self.size
-
-class PCOperand(Operand):
-    def makeConstructor(self):
-        return ''
-
-    def makeRead(self):
-        return '%s = xc->readPC();\n' % self.base_name
-
-    def makeWrite(self):
-        return 'xc->setPC(%s);\n' % self.base_name
-
-class UPCOperand(Operand):
-    def makeConstructor(self):
-        return ''
-
-    def makeRead(self):
-        if self.read_code != None:
-            return self.buildReadCode('readMicroPC')
-        return '%s = xc->readMicroPC();\n' % self.base_name
-
-    def makeWrite(self):
-        if self.write_code != None:
-            return self.buildWriteCode('setMicroPC')
-        return 'xc->setMicroPC(%s);\n' % self.base_name
-
-class NUPCOperand(Operand):
-    def makeConstructor(self):
-        return ''
-
-    def makeRead(self):
-        if self.read_code != None:
-            return self.buildReadCode('readNextMicroPC')
-        return '%s = xc->readNextMicroPC();\n' % self.base_name
-
-    def makeWrite(self):
-        if self.write_code != None:
-            return self.buildWriteCode('setNextMicroPC')
-        return 'xc->setNextMicroPC(%s);\n' % self.base_name
-
-class NPCOperand(Operand):
-    def makeConstructor(self):
-        return ''
-
-    def makeRead(self):
-        if self.read_code != None:
-            return self.buildReadCode('readNextPC')
-        return '%s = xc->readNextPC();\n' % self.base_name
-
-    def makeWrite(self):
-        if self.write_code != None:
-            return self.buildWriteCode('setNextPC')
-        return 'xc->setNextPC(%s);\n' % self.base_name
-
-class NNPCOperand(Operand):
-    def makeConstructor(self):
+class PCStateOperand(Operand):
+    def makeConstructor(self, predRead, predWrite):
         return ''
 
-    def makeRead(self):
-        if self.read_code != None:
-            return self.buildReadCode('readNextNPC')
-        return '%s = xc->readNextNPC();\n' % self.base_name
-
-    def makeWrite(self):
-        if self.write_code != None:
-            return self.buildWriteCode('setNextNPC')
-        return 'xc->setNextNPC(%s);\n' % self.base_name
-
-def buildOperandNameMap(user_dict, lineno):
-    global operandNameMap
-    operandNameMap = {}
-    for (op_name, val) in user_dict.iteritems():
-        (base_cls_name, dflt_ext, reg_spec, flags, sort_pri) = val[:5]
-        if len(val) > 5:
-            read_code = val[5]
+    def makeRead(self, predRead):
+        if self.reg_spec:
+            # A component of the PC state.
+            return '%s = __parserAutoPCState.%s();\n' % \
+                (self.base_name, self.reg_spec)
         else:
-            read_code = None
-        if len(val) > 6:
-            write_code = val[6]
+            # The whole PC state itself.
+            return '%s = xc->pcState();\n' % self.base_name
+
+    def makeWrite(self, predWrite):
+        if self.reg_spec:
+            # A component of the PC state.
+            return '__parserAutoPCState.%s(%s);\n' % \
+                (self.reg_spec, self.base_name)
         else:
-            write_code = None
-        if len(val) > 7:
-            error(lineno,
-                  'error: too many attributes for operand "%s"' %
-                  base_cls_name)
-            
-        (dflt_size, dflt_ctype, dflt_is_signed) = operandTypeMap[dflt_ext]
-        # Canonical flag structure is a triple of lists, where each list
-        # indicates the set of flags implied by this operand always, when
-        # used as a source, and when used as a dest, respectively.
-        # For simplicity this can be initialized using a variety of fairly
-        # obvious shortcuts; we convert these to canonical form here.
-        if not flags:
-            # no flags specified (e.g., 'None')
-            flags = ( [], [], [] )
-        elif isinstance(flags, str):
-            # a single flag: assumed to be unconditional
-            flags = ( [ flags ], [], [] )
-        elif isinstance(flags, list):
-            # a list of flags: also assumed to be unconditional
-            flags = ( flags, [], [] )
-        elif isinstance(flags, tuple):
-            # it's a tuple: it should be a triple,
-            # but each item could be a single string or a list
-            (uncond_flags, src_flags, dest_flags) = flags
-            flags = (makeList(uncond_flags),
-                     makeList(src_flags), makeList(dest_flags))
-        # Accumulate attributes of new operand class in tmp_dict
-        tmp_dict = {}
-        for attr in ('dflt_ext', 'reg_spec', 'flags', 'sort_pri',
-                     'dflt_size', 'dflt_ctype', 'dflt_is_signed',
-                     'read_code', 'write_code'):
-            tmp_dict[attr] = eval(attr)
-        tmp_dict['base_name'] = op_name
-        # New class name will be e.g. "IntReg_Ra"
-        cls_name = base_cls_name + '_' + op_name
-        # Evaluate string arg to get class object.  Note that the
-        # actual base class for "IntReg" is "IntRegOperand", i.e. we
-        # have to append "Operand".
-        try:
-            base_cls = eval(base_cls_name + 'Operand')
-        except NameError:
-            if debug:
-                raise
-            error(lineno,
-                  'error: unknown operand base class "%s"' % base_cls_name)
-        # The following statement creates a new class called
-        # <cls_name> as a subclass of <base_cls> with the attributes
-        # in tmp_dict, just as if we evaluated a class declaration.
-        operandNameMap[op_name] = type(cls_name, (base_cls,), tmp_dict)
-
-    # Define operand variables.
-    operands = user_dict.keys()
-
-    operandsREString = (r'''
-    (?<![\w\.])      # neg. lookbehind assertion: prevent partial matches
-    ((%s)(?:\.(\w+))?)   # match: operand with optional '.' then suffix
-    (?![\w\.])       # neg. lookahead assertion: prevent partial matches
-    '''
-                        % string.join(operands, '|'))
-
-    global operandsRE
-    operandsRE = re.compile(operandsREString, re.MULTILINE|re.VERBOSE)
-
-    # Same as operandsREString, but extension is mandatory, and only two
-    # groups are returned (base and ext, not full name as above).
-    # Used for subtituting '_' for '.' to make C++ identifiers.
-    operandsWithExtREString = (r'(?<![\w\.])(%s)\.(\w+)(?![\w\.])'
-                               % string.join(operands, '|'))
-
-    global operandsWithExtRE
-    operandsWithExtRE = re.compile(operandsWithExtREString, re.MULTILINE)
+            # The whole PC state itself.
+            return 'xc->pcState(%s);\n' % self.base_name
+
+    def makeDecl(self):
+        ctype = 'TheISA::PCState'
+        if self.isPCPart():
+            ctype = self.ctype
+        return "%s %s;\n" % (ctype, self.base_name)
+
+    def isPCState(self):
+        return 1
 
 class OperandList(object):
     '''Find all the operands in the given code block.  Returns an operand
@@ -861,12 +776,13 @@ class OperandList(object):
     def __init__(self, parser, code):
         self.items = []
         self.bases = {}
-        # delete comments so we don't match on reg specifiers inside
-        code = commentRE.sub('', code)
+        # delete strings and comments so we don't match on operands inside
+        for regEx in (stringRE, commentRE):
+            code = regEx.sub('', code)
         # search for operands
         next_pos = 0
         while 1:
-            match = operandsRE.search(code, next_pos)
+            match = parser.operandsRE.search(code, next_pos)
             if not match:
                 # no more matches: we're done
                 break
@@ -887,8 +803,8 @@ class OperandList(object):
                 op_desc.is_dest = op_desc.is_dest or is_dest
             else:
                 # new operand: create new descriptor
-                op_desc = operandNameMap[op_base](op_full, op_ext,
-                                                  is_src, is_dest)
+                op_desc = parser.operandNameMap[op_base](parser,
+                    op_full, op_ext, is_src, is_dest)
                 self.append(op_desc)
             # start next search after end of current match
             next_pos = match.end()
@@ -899,7 +815,14 @@ class OperandList(object):
         self.numDestRegs = 0
         self.numFPDestRegs = 0
         self.numIntDestRegs = 0
+        self.numMiscDestRegs = 0
         self.memOperand = None
+
+        # Flags to keep track if one or more operands are to be read/written
+        # conditionally.
+        self.predRead = False
+        self.predWrite = False
+
         for op_desc in self.items:
             if op_desc.isReg():
                 if op_desc.is_src:
@@ -912,18 +835,29 @@ class OperandList(object):
                         self.numFPDestRegs += 1
                     elif op_desc.isIntReg():
                         self.numIntDestRegs += 1
+                    elif op_desc.isControlReg():
+                        self.numMiscDestRegs += 1
             elif op_desc.isMem():
                 if self.memOperand:
                     error("Code block has more than one memory operand.")
                 self.memOperand = op_desc
+
+            # Check if this operand has read/write predication. If true, then
+            # the microop will dynamically index source/dest registers.
+            self.predRead = self.predRead or op_desc.hasReadPred()
+            self.predWrite = self.predWrite or op_desc.hasWritePred()
+
         if parser.maxInstSrcRegs < self.numSrcRegs:
             parser.maxInstSrcRegs = self.numSrcRegs
         if parser.maxInstDestRegs < self.numDestRegs:
             parser.maxInstDestRegs = self.numDestRegs
+        if parser.maxMiscDestRegs < self.numMiscDestRegs:
+            parser.maxMiscDestRegs = self.numMiscDestRegs
+
         # now make a final pass to finalize op_desc fields that may depend
         # on the register enumeration
         for op_desc in self.items:
-            op_desc.finalize()
+            op_desc.finalize(self.predRead, self.predWrite)
 
     def __len__(self):
         return len(self.items)
@@ -973,15 +907,16 @@ class OperandList(object):
 class SubOperandList(OperandList):
     '''Find all the operands in the given code block.  Returns an operand
     descriptor list (instance of class OperandList).'''
-    def __init__(self, code, master_list):
+    def __init__(self, parser, code, master_list):
         self.items = []
         self.bases = {}
-        # delete comments so we don't match on reg specifiers inside
-        code = commentRE.sub('', code)
+        # delete strings and comments so we don't match on operands inside
+        for regEx in (stringRE, commentRE):
+            code = regEx.sub('', code)
         # search for operands
         next_pos = 0
         while 1:
-            match = operandsRE.search(code, next_pos)
+            match = parser.operandsRE.search(code, next_pos)
             if not match:
                 # no more matches: we're done
                 break
@@ -1004,33 +939,55 @@ class SubOperandList(OperandList):
             next_pos = match.end()
         self.sort()
         self.memOperand = None
+        # Whether the whole PC needs to be read so parts of it can be accessed
+        self.readPC = False
+        # Whether the whole PC needs to be written after parts of it were
+        # changed
+        self.setPC = False
+        # Whether this instruction manipulates the whole PC or parts of it.
+        # Mixing the two is a bad idea and flagged as an error.
+        self.pcPart = None
+
+        # Flags to keep track if one or more operands are to be read/written
+        # conditionally.
+        self.predRead = False
+        self.predWrite = False
+
         for op_desc in self.items:
+            if op_desc.isPCPart():
+                self.readPC = True
+                if op_desc.is_dest:
+                    self.setPC = True
+
+            if op_desc.isPCState():
+                if self.pcPart is not None:
+                    if self.pcPart and not op_desc.isPCPart() or \
+                            not self.pcPart and op_desc.isPCPart():
+                        error("Mixed whole and partial PC state operands.")
+                self.pcPart = op_desc.isPCPart()
+
             if op_desc.isMem():
                 if self.memOperand:
                     error("Code block has more than one memory operand.")
                 self.memOperand = op_desc
 
+            # Check if this operand has read/write predication. If true, then
+            # the microop will dynamically index source/dest registers.
+            self.predRead = self.predRead or op_desc.hasReadPred()
+            self.predWrite = self.predWrite or op_desc.hasWritePred()
+
+# Regular expression object to match C++ strings
+stringRE = re.compile(r'"([^"\\]|\\.)*"')
+
 # Regular expression object to match C++ comments
 # (used in findOperands())
-commentRE = re.compile(r'//.*\n')
+commentRE = re.compile(r'(^)?[^\S\n]*/(?:\*(.*?)\*/[^\S\n]*|/[^\n]*)($)?',
+        re.DOTALL | re.MULTILINE)
 
 # Regular expression object to match assignment statements
 # (used in findOperands())
 assignRE = re.compile(r'\s*=(?!=)', re.MULTILINE)
 
-# Munge operand names in code string to make legal C++ variable names.
-# This means getting rid of the type extension if any.
-# (Will match base_name attribute of Operand object.)
-def substMungedOpNames(code):
-    return operandsWithExtRE.sub(r'\1', code)
-
-# Fix up code snippets for final substitution in templates.
-def mungeSnippet(s):
-    if isinstance(s, str):
-        return substMungedOpNames(substBitOps(s))
-    else:
-        return s
-
 def makeFlagConstructor(flag_list):
     if len(flag_list) == 0:
         return ''
@@ -1065,15 +1022,18 @@ class InstObjParams(object):
         self.snippets = snippets
 
         self.operands = OperandList(parser, compositeCode)
-        self.constructor = self.operands.concatAttrStrings('constructor')
-        self.constructor += \
-                 '\n\t_numSrcRegs = %d;' % self.operands.numSrcRegs
-        self.constructor += \
-                 '\n\t_numDestRegs = %d;' % self.operands.numDestRegs
-        self.constructor += \
-                 '\n\t_numFPDestRegs = %d;' % self.operands.numFPDestRegs
-        self.constructor += \
-                 '\n\t_numIntDestRegs = %d;' % self.operands.numIntDestRegs
+
+        # The header of the constructor declares the variables to be used
+        # in the body of the constructor.
+        header = ''
+        header += '\n\t_numSrcRegs = 0;'
+        header += '\n\t_numDestRegs = 0;'
+        header += '\n\t_numFPDestRegs = 0;'
+        header += '\n\t_numIntDestRegs = 0;'
+
+        self.constructor = header + \
+                           self.operands.concatAttrStrings('constructor')
+
         self.flags = self.operands.concatAttrLists('flags')
 
         # Make a basic guess on the operand class (function unit type).
@@ -1128,13 +1088,6 @@ class Stack(list):
     def top(self):
         return self[-1]
 
-# Global stack that tracks current file and line number.
-# Each element is a tuple (filename, lineno) that records the
-# *current* filename and the line number in the *previous* file where
-# it was included.
-fileNameStack = Stack()
-
-
 #######################
 #
 # Output file template
@@ -1171,6 +1124,7 @@ namespace %(namespace)s {
 
     const int MaxInstSrcRegs = %(MaxInstSrcRegs)d;
     const int MaxInstDestRegs = %(MaxInstDestRegs)d;
+    const int MaxMiscDestRegs = %(MaxMiscDestRegs)d;
 
 } // namespace %(namespace)s
 
@@ -1195,11 +1149,18 @@ class ISAParser(Grammar):
         # The default case stack.
         self.defaultStack = Stack(None)
 
+        # Stack that tracks current file and line number.  Each
+        # element is a tuple (filename, lineno) that records the
+        # *current* filename and the line number in the *previous*
+        # file where it was included.
+        self.fileNameStack = Stack()
+
         symbols = ('makeList', 're', 'string')
         self.exportContext = dict([(s, eval(s)) for s in symbols])
 
         self.maxInstSrcRegs = 0
         self.maxInstDestRegs = 0
+        self.maxMiscDestRegs = 0
 
     #####################################################################
     #
@@ -1321,13 +1282,13 @@ class ISAParser(Grammar):
         return t
 
     def t_NEWFILE(self, t):
-        r'^\#\#newfile\s+"[\w/.-]*"'
-        fileNameStack.push((t.value[11:-1], t.lexer.lineno))
+        r'^\#\#newfile\s+"[^"]*"'
+        self.fileNameStack.push((t.value[11:-1], t.lexer.lineno))
         t.lexer.lineno = 0
 
     def t_ENDFILE(self, t):
         r'^\#\#endfile'
-        (old_filename, t.lexer.lineno) = fileNameStack.pop()
+        (old_filename, t.lexer.lineno) = self.fileNameStack.pop()
 
     #
     # The functions t_NEWLINE, t_ignore, and t_error are
@@ -1382,7 +1343,7 @@ class ISAParser(Grammar):
         # wrap the decode block as a function definition
         t[4].wrap_decode_block('''
 StaticInstPtr
-%(isa_name)s::decodeInst(%(isa_name)s::ExtMachInst machInst)
+%(isa_name)s::Decoder::decodeInst(%(isa_name)s::ExtMachInst machInst)
 {
     using namespace %(namespace)s;
 ''' % vars(), '}')
@@ -1483,20 +1444,19 @@ StaticInstPtr
     def p_def_operand_types(self, t):
         'def_operand_types : DEF OPERAND_TYPES CODELIT SEMI'
         try:
-            user_dict = eval('{' + t[3] + '}')
+            self.operandTypeMap = eval('{' + t[3] + '}')
         except Exception, exc:
             if debug:
                 raise
             error(t,
                   'error: %s in def operand_types block "%s".' % (exc, t[3]))
-        buildOperandTypeMap(user_dict, t.lexer.lineno)
         t[0] = GenCode(self) # contributes nothing to the output C++ file
 
     # Define the mapping from operand names to operand classes and
     # other traits.  Stored in operandNameMap.
     def p_def_operands(self, t):
         'def_operands : DEF OPERANDS CODELIT SEMI'
-        if not globals().has_key('operandTypeMap'):
+        if not hasattr(self, 'operandTypeMap'):
             error(t, 'error: operand types must be defined before operands')
         try:
             user_dict = eval('{' + t[3] + '}', self.exportContext)
@@ -1504,7 +1464,7 @@ StaticInstPtr
             if debug:
                 raise
             error(t, 'error: %s in def operands block "%s".' % (exc, t[3]))
-        buildOperandNameMap(user_dict, t.lexer.lineno)
+        self.buildOperandNameMap(user_dict, t.lexer.lineno)
         t[0] = GenCode(self) # contributes nothing to the output C++ file
 
     # A bitfield definition looks like:
@@ -1950,6 +1910,104 @@ StaticInstPtr
 
         return re.sub(r'%(?!\()', '%%', s)
 
+    def buildOperandNameMap(self, user_dict, lineno):
+        operand_name = {}
+        for op_name, val in user_dict.iteritems():
+
+            # Check if extra attributes have been specified.
+            if len(val) > 9:
+                error(lineno, 'error: too many attributes for operand "%s"' %
+                      base_cls_name)
+
+            # Pad val with None in case optional args are missing
+            val += (None, None, None, None)
+            base_cls_name, dflt_ext, reg_spec, flags, sort_pri, \
+            read_code, write_code, read_predicate, write_predicate = val[:9]
+
+            # Canonical flag structure is a triple of lists, where each list
+            # indicates the set of flags implied by this operand always, when
+            # used as a source, and when used as a dest, respectively.
+            # For simplicity this can be initialized using a variety of fairly
+            # obvious shortcuts; we convert these to canonical form here.
+            if not flags:
+                # no flags specified (e.g., 'None')
+                flags = ( [], [], [] )
+            elif isinstance(flags, str):
+                # a single flag: assumed to be unconditional
+                flags = ( [ flags ], [], [] )
+            elif isinstance(flags, list):
+                # a list of flags: also assumed to be unconditional
+                flags = ( flags, [], [] )
+            elif isinstance(flags, tuple):
+                # it's a tuple: it should be a triple,
+                # but each item could be a single string or a list
+                (uncond_flags, src_flags, dest_flags) = flags
+                flags = (makeList(uncond_flags),
+                         makeList(src_flags), makeList(dest_flags))
+
+            # Accumulate attributes of new operand class in tmp_dict
+            tmp_dict = {}
+            attrList = ['reg_spec', 'flags', 'sort_pri',
+                        'read_code', 'write_code',
+                        'read_predicate', 'write_predicate']
+            if dflt_ext:
+                dflt_ctype = self.operandTypeMap[dflt_ext]
+                attrList.extend(['dflt_ctype', 'dflt_ext'])
+            for attr in attrList:
+                tmp_dict[attr] = eval(attr)
+            tmp_dict['base_name'] = op_name
+
+            # New class name will be e.g. "IntReg_Ra"
+            cls_name = base_cls_name + '_' + op_name
+            # Evaluate string arg to get class object.  Note that the
+            # actual base class for "IntReg" is "IntRegOperand", i.e. we
+            # have to append "Operand".
+            try:
+                base_cls = eval(base_cls_name + 'Operand')
+            except NameError:
+                error(lineno,
+                      'error: unknown operand base class "%s"' % base_cls_name)
+            # The following statement creates a new class called
+            # <cls_name> as a subclass of <base_cls> with the attributes
+            # in tmp_dict, just as if we evaluated a class declaration.
+            operand_name[op_name] = type(cls_name, (base_cls,), tmp_dict)
+
+        self.operandNameMap = operand_name
+
+        # Define operand variables.
+        operands = user_dict.keys()
+        extensions = self.operandTypeMap.keys()
+
+        operandsREString = r'''
+        (?<!\w)      # neg. lookbehind assertion: prevent partial matches
+        ((%s)(?:_(%s))?)   # match: operand with optional '_' then suffix
+        (?!\w)       # neg. lookahead assertion: prevent partial matches
+        ''' % (string.join(operands, '|'), string.join(extensions, '|'))
+
+        self.operandsRE = re.compile(operandsREString, re.MULTILINE|re.VERBOSE)
+
+        # Same as operandsREString, but extension is mandatory, and only two
+        # groups are returned (base and ext, not full name as above).
+        # Used for subtituting '_' for '.' to make C++ identifiers.
+        operandsWithExtREString = r'(?<!\w)(%s)_(%s)(?!\w)' \
+            % (string.join(operands, '|'), string.join(extensions, '|'))
+
+        self.operandsWithExtRE = \
+            re.compile(operandsWithExtREString, re.MULTILINE)
+
+    def substMungedOpNames(self, code):
+        '''Munge operand names in code string to make legal C++
+        variable names.  This means getting rid of the type extension
+        if any.  Will match base_name attribute of Operand object.)'''
+        return self.operandsWithExtRE.sub(r'\1', code)
+
+    def mungeSnippet(self, s):
+        '''Fix up code snippets for final substitution in templates.'''
+        if isinstance(s, str):
+            return self.substMungedOpNames(substBitOps(s))
+        else:
+            return s
+
     def update_if_needed(self, file, contents):
         '''Update the output file only if the new contents are
         different from the current contents.  Minimizes the files that
@@ -1962,13 +2020,11 @@ StaticInstPtr
             old_contents = f.read()
             f.close()
             if contents != old_contents:
-                print 'Updating', file
                 os.remove(file) # in case it's write-protected
                 update = True
             else:
                 print 'File', file, 'is unchanged'
         else:
-            print 'Generating', file
             update = True
         if update:
             f = open(file, 'w')
@@ -1976,7 +2032,7 @@ StaticInstPtr
             f.close()
 
     # This regular expression matches '##include' directives
-    includeRE = re.compile(r'^\s*##include\s+"(?P<filename>[\w/.-]*)".*$',
+    includeRE = re.compile(r'^\s*##include\s+"(?P<filename>[^"]*)".*$',
                            re.MULTILINE)
 
     def replace_include(self, matchobj, dirname):
@@ -2001,14 +2057,14 @@ StaticInstPtr
         except IOError:
             error('Error including file "%s"' % filename)
 
-        fileNameStack.push((filename, 0))
+        self.fileNameStack.push((filename, 0))
 
         # Find any includes and include them
         def replace(matchobj):
             return self.replace_include(matchobj, current_dir)
         contents = self.includeRE.sub(replace, contents)
 
-        fileNameStack.pop()
+        self.fileNameStack.pop()
         return contents
 
     def _parse_isa_desc(self, isa_desc_file):
@@ -2020,11 +2076,11 @@ StaticInstPtr
         isa_desc = self.read_and_flatten(isa_desc_file)
 
         # Initialize filename stack with outer file.
-        fileNameStack.push((isa_desc_file, 0))
+        self.fileNameStack.push((isa_desc_file, 0))
 
         # Parse it.
         (isa_name, namespace, global_code, namespace_code) = \
-                   self.parse(isa_desc)
+                   self.parse_string(isa_desc)
 
         # grab the last three path components of isa_desc_file to put in
         # the output
@@ -2059,6 +2115,7 @@ StaticInstPtr
         # value of the globals.
         MaxInstSrcRegs = self.maxInstSrcRegs
         MaxInstDestRegs = self.maxInstDestRegs
+        MaxMiscDestRegs = self.maxMiscDestRegs
         # max_inst_regs.hh
         self.update_if_needed('max_inst_regs.hh',
                               max_inst_regs_template % vars())
@@ -2067,7 +2124,7 @@ StaticInstPtr
         try:
             self._parse_isa_desc(*args, **kwargs)
         except ISAParserError, e:
-            e.exit(fileNameStack)
+            e.exit(self.fileNameStack)
 
 # Called as script: get args from command line.
 # Args are: <path to cpu_models.py> <isa desc file> <output dir> <cpu models>