radeonsi: move si_shader_binary_upload out of si_compile_llvm
[mesa.git] / src / gallium / drivers / radeonsi / si_state_shaders.c
index eea00e0fafcf5fce4c6151df52ca1af655bc8996..64adf699604353e53fc280f9837107c03db9e82e 100644 (file)
@@ -33,6 +33,7 @@
 #include "tgsi/tgsi_parse.h"
 #include "tgsi/tgsi_ureg.h"
 #include "util/u_memory.h"
+#include "util/u_prim.h"
 #include "util/u_simple_shaders.h"
 
 static void si_set_tesseval_regs(struct si_shader *shader,
@@ -99,7 +100,7 @@ static void si_shader_ls(struct si_shader *shader)
        uint64_t va;
 
        pm4 = shader->pm4 = CALLOC_STRUCT(si_pm4_state);
-       if (pm4 == NULL)
+       if (!pm4)
                return;
 
        va = shader->bo->gpu_address;
@@ -110,7 +111,7 @@ static void si_shader_ls(struct si_shader *shader)
        vgpr_comp_cnt = shader->uses_instanceid ? 3 : 1;
 
        num_user_sgprs = SI_LS_NUM_USER_SGPR;
-       num_sgprs = shader->num_sgprs;
+       num_sgprs = shader->config.num_sgprs;
        if (num_user_sgprs > num_sgprs) {
                /* Last 2 reserved SGPRs are used for VCC */
                num_sgprs = num_user_sgprs + 2;
@@ -120,12 +121,12 @@ static void si_shader_ls(struct si_shader *shader)
        si_pm4_set_reg(pm4, R_00B520_SPI_SHADER_PGM_LO_LS, va >> 8);
        si_pm4_set_reg(pm4, R_00B524_SPI_SHADER_PGM_HI_LS, va >> 40);
 
-       shader->ls_rsrc1 = S_00B528_VGPRS((shader->num_vgprs - 1) / 4) |
+       shader->config.rsrc1 = S_00B528_VGPRS((shader->config.num_vgprs - 1) / 4) |
                           S_00B528_SGPRS((num_sgprs - 1) / 8) |
                           S_00B528_VGPR_COMP_CNT(vgpr_comp_cnt) |
                           S_00B528_DX10_CLAMP(shader->dx10_clamp_mode);
-       shader->ls_rsrc2 = S_00B52C_USER_SGPR(num_user_sgprs) |
-                          S_00B52C_SCRATCH_EN(shader->scratch_bytes_per_wave > 0);
+       shader->config.rsrc2 = S_00B52C_USER_SGPR(num_user_sgprs) |
+                          S_00B52C_SCRATCH_EN(shader->config.scratch_bytes_per_wave > 0);
 }
 
 static void si_shader_hs(struct si_shader *shader)
@@ -135,14 +136,14 @@ static void si_shader_hs(struct si_shader *shader)
        uint64_t va;
 
        pm4 = shader->pm4 = CALLOC_STRUCT(si_pm4_state);
-       if (pm4 == NULL)
+       if (!pm4)
                return;
 
        va = shader->bo->gpu_address;
        si_pm4_add_bo(pm4, shader->bo, RADEON_USAGE_READ, RADEON_PRIO_USER_SHADER);
 
        num_user_sgprs = SI_TCS_NUM_USER_SGPR;
-       num_sgprs = shader->num_sgprs;
+       num_sgprs = shader->config.num_sgprs;
        /* One SGPR after user SGPRs is pre-loaded with tessellation factor
         * buffer offset. */
        if ((num_user_sgprs + 1) > num_sgprs) {
@@ -154,12 +155,12 @@ static void si_shader_hs(struct si_shader *shader)
        si_pm4_set_reg(pm4, R_00B420_SPI_SHADER_PGM_LO_HS, va >> 8);
        si_pm4_set_reg(pm4, R_00B424_SPI_SHADER_PGM_HI_HS, va >> 40);
        si_pm4_set_reg(pm4, R_00B428_SPI_SHADER_PGM_RSRC1_HS,
-                      S_00B428_VGPRS((shader->num_vgprs - 1) / 4) |
+                      S_00B428_VGPRS((shader->config.num_vgprs - 1) / 4) |
                       S_00B428_SGPRS((num_sgprs - 1) / 8) |
                       S_00B428_DX10_CLAMP(shader->dx10_clamp_mode));
        si_pm4_set_reg(pm4, R_00B42C_SPI_SHADER_PGM_RSRC2_HS,
                       S_00B42C_USER_SGPR(num_user_sgprs) |
-                      S_00B42C_SCRATCH_EN(shader->scratch_bytes_per_wave > 0));
+                      S_00B42C_SCRATCH_EN(shader->config.scratch_bytes_per_wave > 0));
 }
 
 static void si_shader_es(struct si_shader *shader)
@@ -171,7 +172,7 @@ static void si_shader_es(struct si_shader *shader)
 
        pm4 = shader->pm4 = CALLOC_STRUCT(si_pm4_state);
 
-       if (pm4 == NULL)
+       if (!pm4)
                return;
 
        va = shader->bo->gpu_address;
@@ -186,7 +187,7 @@ static void si_shader_es(struct si_shader *shader)
        } else
                unreachable("invalid shader selector type");
 
-       num_sgprs = shader->num_sgprs;
+       num_sgprs = shader->config.num_sgprs;
        /* One SGPR after user SGPRs is pre-loaded with es2gs_offset */
        if ((num_user_sgprs + 1) > num_sgprs) {
                /* Last 2 reserved SGPRs are used for VCC */
@@ -194,54 +195,41 @@ static void si_shader_es(struct si_shader *shader)
        }
        assert(num_sgprs <= 104);
 
+       si_pm4_set_reg(pm4, R_028AAC_VGT_ESGS_RING_ITEMSIZE,
+                      shader->selector->esgs_itemsize / 4);
        si_pm4_set_reg(pm4, R_00B320_SPI_SHADER_PGM_LO_ES, va >> 8);
        si_pm4_set_reg(pm4, R_00B324_SPI_SHADER_PGM_HI_ES, va >> 40);
        si_pm4_set_reg(pm4, R_00B328_SPI_SHADER_PGM_RSRC1_ES,
-                      S_00B328_VGPRS((shader->num_vgprs - 1) / 4) |
+                      S_00B328_VGPRS((shader->config.num_vgprs - 1) / 4) |
                       S_00B328_SGPRS((num_sgprs - 1) / 8) |
                       S_00B328_VGPR_COMP_CNT(vgpr_comp_cnt) |
                       S_00B328_DX10_CLAMP(shader->dx10_clamp_mode));
        si_pm4_set_reg(pm4, R_00B32C_SPI_SHADER_PGM_RSRC2_ES,
                       S_00B32C_USER_SGPR(num_user_sgprs) |
-                      S_00B32C_SCRATCH_EN(shader->scratch_bytes_per_wave > 0));
+                      S_00B32C_SCRATCH_EN(shader->config.scratch_bytes_per_wave > 0));
 
        if (shader->selector->type == PIPE_SHADER_TESS_EVAL)
                si_set_tesseval_regs(shader, pm4);
 }
 
-static unsigned si_gs_get_max_stream(struct si_shader *shader)
-{
-       struct pipe_stream_output_info *so = &shader->selector->so;
-       unsigned max_stream = 0, i;
-
-       if (so->num_outputs == 0)
-               return 0;
-
-       for (i = 0; i < so->num_outputs; i++) {
-               if (so->output[i].stream > max_stream)
-                       max_stream = so->output[i].stream;
-       }
-       return max_stream;
-}
-
 static void si_shader_gs(struct si_shader *shader)
 {
-       unsigned gs_vert_itemsize = shader->selector->info.num_outputs * 16;
+       unsigned gs_vert_itemsize = shader->selector->gsvs_vertex_size;
        unsigned gs_max_vert_out = shader->selector->gs_max_out_vertices;
-       unsigned gsvs_itemsize = (gs_vert_itemsize * gs_max_vert_out) >> 2;
+       unsigned gsvs_itemsize = shader->selector->max_gsvs_emit_size >> 2;
        unsigned gs_num_invocations = shader->selector->gs_num_invocations;
        unsigned cut_mode;
        struct si_pm4_state *pm4;
        unsigned num_sgprs, num_user_sgprs;
        uint64_t va;
-       unsigned max_stream = si_gs_get_max_stream(shader);
+       unsigned max_stream = shader->selector->max_gs_stream;
 
        /* The GSVS_RING_ITEMSIZE register takes 15 bits */
        assert(gsvs_itemsize < (1 << 15));
 
        pm4 = shader->pm4 = CALLOC_STRUCT(si_pm4_state);
 
-       if (pm4 == NULL)
+       if (!pm4)
                return;
 
        if (gs_max_vert_out <= 128) {
@@ -265,8 +253,6 @@ static void si_shader_gs(struct si_shader *shader)
        si_pm4_set_reg(pm4, R_028A64_VGT_GSVS_RING_OFFSET_2, gsvs_itemsize * ((max_stream >= 2) ? 2 : 1));
        si_pm4_set_reg(pm4, R_028A68_VGT_GSVS_RING_OFFSET_3, gsvs_itemsize * ((max_stream >= 3) ? 3 : 1));
 
-       si_pm4_set_reg(pm4, R_028AAC_VGT_ESGS_RING_ITEMSIZE,
-                      util_bitcount64(shader->selector->inputs_read) * (16 >> 2));
        si_pm4_set_reg(pm4, R_028AB0_VGT_GSVS_RING_ITEMSIZE, gsvs_itemsize * (max_stream + 1));
 
        si_pm4_set_reg(pm4, R_028B38_VGT_GS_MAX_VERT_OUT, gs_max_vert_out);
@@ -286,7 +272,7 @@ static void si_shader_gs(struct si_shader *shader)
        si_pm4_set_reg(pm4, R_00B224_SPI_SHADER_PGM_HI_GS, va >> 40);
 
        num_user_sgprs = SI_GS_NUM_USER_SGPR;
-       num_sgprs = shader->num_sgprs;
+       num_sgprs = shader->config.num_sgprs;
        /* Two SGPRs after user SGPRs are pre-loaded with gs2vs_offset, gs_wave_id */
        if ((num_user_sgprs + 2) > num_sgprs) {
                /* Last 2 reserved SGPRs are used for VCC */
@@ -295,12 +281,12 @@ static void si_shader_gs(struct si_shader *shader)
        assert(num_sgprs <= 104);
 
        si_pm4_set_reg(pm4, R_00B228_SPI_SHADER_PGM_RSRC1_GS,
-                      S_00B228_VGPRS((shader->num_vgprs - 1) / 4) |
+                      S_00B228_VGPRS((shader->config.num_vgprs - 1) / 4) |
                       S_00B228_SGPRS((num_sgprs - 1) / 8) |
                       S_00B228_DX10_CLAMP(shader->dx10_clamp_mode));
        si_pm4_set_reg(pm4, R_00B22C_SPI_SHADER_PGM_RSRC2_GS,
                       S_00B22C_USER_SGPR(num_user_sgprs) |
-                      S_00B22C_SCRATCH_EN(shader->scratch_bytes_per_wave > 0));
+                      S_00B22C_SCRATCH_EN(shader->config.scratch_bytes_per_wave > 0));
 }
 
 static void si_shader_vs(struct si_shader *shader)
@@ -315,7 +301,7 @@ static void si_shader_vs(struct si_shader *shader)
 
        pm4 = shader->pm4 = CALLOC_STRUCT(si_pm4_state);
 
-       if (pm4 == NULL)
+       if (!pm4)
                return;
 
        /* If this is the GS copy shader, the GS state writes this register.
@@ -343,7 +329,7 @@ static void si_shader_vs(struct si_shader *shader)
        } else
                unreachable("invalid shader selector type");
 
-       num_sgprs = shader->num_sgprs;
+       num_sgprs = shader->config.num_sgprs;
        if (num_user_sgprs > num_sgprs) {
                /* Last 2 reserved SGPRs are used for VCC */
                num_sgprs = num_user_sgprs + 2;
@@ -370,7 +356,7 @@ static void si_shader_vs(struct si_shader *shader)
        si_pm4_set_reg(pm4, R_00B120_SPI_SHADER_PGM_LO_VS, va >> 8);
        si_pm4_set_reg(pm4, R_00B124_SPI_SHADER_PGM_HI_VS, va >> 40);
        si_pm4_set_reg(pm4, R_00B128_SPI_SHADER_PGM_RSRC1_VS,
-                      S_00B128_VGPRS((shader->num_vgprs - 1) / 4) |
+                      S_00B128_VGPRS((shader->config.num_vgprs - 1) / 4) |
                       S_00B128_SGPRS((num_sgprs - 1) / 8) |
                       S_00B128_VGPR_COMP_CNT(vgpr_comp_cnt) |
                       S_00B128_DX10_CLAMP(shader->dx10_clamp_mode));
@@ -381,7 +367,7 @@ static void si_shader_vs(struct si_shader *shader)
                       S_00B12C_SO_BASE2_EN(!!shader->selector->so.stride[2]) |
                       S_00B12C_SO_BASE3_EN(!!shader->selector->so.stride[3]) |
                       S_00B12C_SO_EN(!!shader->selector->so.num_outputs) |
-                      S_00B12C_SCRATCH_EN(shader->scratch_bytes_per_wave > 0));
+                      S_00B12C_SCRATCH_EN(shader->config.scratch_bytes_per_wave > 0));
        if (window_space)
                si_pm4_set_reg(pm4, R_028818_PA_CL_VTE_CNTL,
                               S_028818_VTX_XY_FMT(1) | S_028818_VTX_Z_FMT(1));
@@ -401,6 +387,8 @@ static void si_shader_ps(struct si_shader *shader)
        struct tgsi_shader_info *info = &shader->selector->info;
        struct si_pm4_state *pm4;
        unsigned i, spi_ps_in_control;
+       unsigned spi_shader_col_format = 0, cb_shader_mask = 0;
+       unsigned colors_written, export_16bpc;
        unsigned num_sgprs, num_user_sgprs;
        unsigned spi_baryc_cntl = 0;
        uint64_t va;
@@ -408,7 +396,7 @@ static void si_shader_ps(struct si_shader *shader)
 
        pm4 = shader->pm4 = CALLOC_STRUCT(si_pm4_state);
 
-       if (pm4 == NULL)
+       if (!pm4)
                return;
 
        for (i = 0; i < info->num_inputs; i++) {
@@ -436,19 +424,43 @@ static void si_shader_ps(struct si_shader *shader)
                }
        }
 
-       has_centroid = G_0286CC_PERSP_CENTROID_ENA(shader->spi_ps_input_ena) ||
-                      G_0286CC_LINEAR_CENTROID_ENA(shader->spi_ps_input_ena);
+       /* Find out what SPI_SHADER_COL_FORMAT and CB_SHADER_MASK should be. */
+       colors_written = info->colors_written;
+       export_16bpc = shader->key.ps.export_16bpc;
+
+       if (info->colors_written == 0x1 &&
+           info->properties[TGSI_PROPERTY_FS_COLOR0_WRITES_ALL_CBUFS]) {
+               colors_written |= (1 << (shader->key.ps.last_cbuf + 1)) - 1;
+       }
+
+       while (colors_written) {
+               i = u_bit_scan(&colors_written);
+               if (export_16bpc & (1 << i))
+                       spi_shader_col_format |= V_028714_SPI_SHADER_FP16_ABGR << (4 * i);
+               else
+                       spi_shader_col_format |= V_028714_SPI_SHADER_32_ABGR << (4 * i);
+               cb_shader_mask |= 0xf << (4 * i);
+       }
+
+       /* Set interpolation controls. */
+       has_centroid = G_0286CC_PERSP_CENTROID_ENA(shader->config.spi_ps_input_ena) ||
+                      G_0286CC_LINEAR_CENTROID_ENA(shader->config.spi_ps_input_ena);
 
        spi_ps_in_control = S_0286D8_NUM_INTERP(shader->nparam) |
                            S_0286D8_BC_OPTIMIZE_DISABLE(has_centroid);
 
+       /* Set registers. */
        si_pm4_set_reg(pm4, R_0286E0_SPI_BARYC_CNTL, spi_baryc_cntl);
        si_pm4_set_reg(pm4, R_0286D8_SPI_PS_IN_CONTROL, spi_ps_in_control);
 
-       si_pm4_set_reg(pm4, R_028710_SPI_SHADER_Z_FORMAT, shader->spi_shader_z_format);
-       si_pm4_set_reg(pm4, R_028714_SPI_SHADER_COL_FORMAT,
-                      shader->spi_shader_col_format);
-       si_pm4_set_reg(pm4, R_02823C_CB_SHADER_MASK, shader->cb_shader_mask);
+       si_pm4_set_reg(pm4, R_028710_SPI_SHADER_Z_FORMAT,
+                      info->writes_samplemask ? V_028710_SPI_SHADER_32_ABGR :
+                      info->writes_stencil ? V_028710_SPI_SHADER_32_GR :
+                      info->writes_z ? V_028710_SPI_SHADER_32_R :
+                      V_028710_SPI_SHADER_ZERO);
+
+       si_pm4_set_reg(pm4, R_028714_SPI_SHADER_COL_FORMAT, spi_shader_col_format);
+       si_pm4_set_reg(pm4, R_02823C_CB_SHADER_MASK, cb_shader_mask);
 
        va = shader->bo->gpu_address;
        si_pm4_add_bo(pm4, shader->bo, RADEON_USAGE_READ, RADEON_PRIO_USER_SHADER);
@@ -456,7 +468,7 @@ static void si_shader_ps(struct si_shader *shader)
        si_pm4_set_reg(pm4, R_00B024_SPI_SHADER_PGM_HI_PS, va >> 40);
 
        num_user_sgprs = SI_PS_NUM_USER_SGPR;
-       num_sgprs = shader->num_sgprs;
+       num_sgprs = shader->config.num_sgprs;
        /* One SGPR after user SGPRs is pre-loaded with {prim_mask, lds_offset} */
        if ((num_user_sgprs + 1) > num_sgprs) {
                /* Last 2 reserved SGPRs are used for VCC */
@@ -465,13 +477,13 @@ static void si_shader_ps(struct si_shader *shader)
        assert(num_sgprs <= 104);
 
        si_pm4_set_reg(pm4, R_00B028_SPI_SHADER_PGM_RSRC1_PS,
-                      S_00B028_VGPRS((shader->num_vgprs - 1) / 4) |
+                      S_00B028_VGPRS((shader->config.num_vgprs - 1) / 4) |
                       S_00B028_SGPRS((num_sgprs - 1) / 8) |
                       S_00B028_DX10_CLAMP(shader->dx10_clamp_mode));
        si_pm4_set_reg(pm4, R_00B02C_SPI_SHADER_PGM_RSRC2_PS,
-                      S_00B02C_EXTRA_LDS_SIZE(shader->lds_size) |
+                      S_00B02C_EXTRA_LDS_SIZE(shader->config.lds_size) |
                       S_00B02C_USER_SGPR(num_user_sgprs) |
-                      S_00B32C_SCRATCH_EN(shader->scratch_bytes_per_wave > 0));
+                      S_00B32C_SCRATCH_EN(shader->config.scratch_bytes_per_wave > 0));
 }
 
 static void si_shader_init_pm4_state(struct si_shader *shader)
@@ -510,6 +522,16 @@ static void si_shader_init_pm4_state(struct si_shader *shader)
        }
 }
 
+static unsigned si_get_alpha_test_func(struct si_context *sctx)
+{
+       /* Alpha-test should be disabled if colorbuffer 0 is integer. */
+       if (sctx->queued.named.dsa &&
+           !sctx->framebuffer.cb0_is_integer)
+               return sctx->queued.named.dsa->alpha_func;
+
+       return PIPE_FUNC_ALWAYS;
+}
+
 /* Compute the key for the hw shader variant */
 static inline void si_shader_selector_key(struct pipe_context *ctx,
                                          struct si_shader_selector *sel,
@@ -529,10 +551,8 @@ static inline void si_shader_selector_key(struct pipe_context *ctx,
 
                if (sctx->tes_shader.cso)
                        key->vs.as_ls = 1;
-               else if (sctx->gs_shader.cso) {
+               else if (sctx->gs_shader.cso)
                        key->vs.as_es = 1;
-                       key->vs.es_enabled_outputs = sctx->gs_shader.cso->inputs_read;
-               }
 
                if (!sctx->gs_shader.cso && sctx->ps_shader.cso &&
                    sctx->ps_shader.cso->info.uses_primid)
@@ -543,10 +563,9 @@ static inline void si_shader_selector_key(struct pipe_context *ctx,
                        sctx->tes_shader.cso->info.properties[TGSI_PROPERTY_TES_PRIM_MODE];
                break;
        case PIPE_SHADER_TESS_EVAL:
-               if (sctx->gs_shader.cso) {
+               if (sctx->gs_shader.cso)
                        key->tes.as_es = 1;
-                       key->tes.es_enabled_outputs = sctx->gs_shader.cso->inputs_read;
-               } else if (sctx->ps_shader.cso && sctx->ps_shader.cso->info.uses_primid)
+               else if (sctx->ps_shader.cso && sctx->ps_shader.cso->info.uses_primid)
                        key->tes.export_prim_id = 1;
                break;
        case PIPE_SHADER_GEOMETRY:
@@ -554,8 +573,10 @@ static inline void si_shader_selector_key(struct pipe_context *ctx,
        case PIPE_SHADER_FRAGMENT: {
                struct si_state_rasterizer *rs = sctx->queued.named.rasterizer;
 
-               if (sel->info.properties[TGSI_PROPERTY_FS_COLOR0_WRITES_ALL_CBUFS])
+               if (sel->info.properties[TGSI_PROPERTY_FS_COLOR0_WRITES_ALL_CBUFS] &&
+                   sel->info.colors_written == 0x1)
                        key->ps.last_cbuf = MAX2(sctx->framebuffer.state.nr_cbufs, 1) - 1;
+
                key->ps.export_16bpc = sctx->framebuffer.export_16bpc;
 
                if (rs) {
@@ -579,11 +600,7 @@ static inline void si_shader_selector_key(struct pipe_context *ctx,
                        key->ps.clamp_color = rs->clamp_fragment_color;
                }
 
-               key->ps.alpha_func = PIPE_FUNC_ALWAYS;
-               /* Alpha-test should be disabled if colorbuffer 0 is integer. */
-               if (sctx->queued.named.dsa &&
-                   !sctx->framebuffer.cb0_is_integer)
-                       key->ps.alpha_func = sctx->queued.named.dsa->alpha_func;
+               key->ps.alpha_func = si_get_alpha_test_func(sctx);
                break;
        }
        default:
@@ -633,7 +650,7 @@ static int si_shader_select(struct pipe_context *ctx,
        shader->selector = sel;
        shader->key = key;
 
-       r = si_shader_create(sctx->screen, sctx->tm, shader);
+       r = si_shader_create(sctx->screen, sctx->tm, shader, &sctx->b.debug);
        if (unlikely(r)) {
                R600_ERR("Failed to build shader variant (type=%u) %d\n",
                         sel->type, r);
@@ -651,7 +668,6 @@ static int si_shader_select(struct pipe_context *ctx,
                sel->last_variant = shader;
        }
        state->current = shader;
-       p_atomic_inc(&sctx->screen->b.num_compilations);
        pipe_mutex_unlock(sel->mutex);
        return 0;
 }
@@ -713,25 +729,22 @@ static void *si_create_shader_selector(struct pipe_context *ctx,
                        sel->info.properties[TGSI_PROPERTY_GS_MAX_OUTPUT_VERTICES];
                sel->gs_num_invocations =
                        sel->info.properties[TGSI_PROPERTY_GS_INVOCATIONS];
-               sel->gsvs_itemsize = sel->info.num_outputs * 16 *
-                                    sel->gs_max_out_vertices;
+               sel->gsvs_vertex_size = sel->info.num_outputs * 16;
+               sel->max_gsvs_emit_size = sel->gsvs_vertex_size *
+                                         sel->gs_max_out_vertices;
 
-               for (i = 0; i < sel->info.num_inputs; i++) {
-                       unsigned name = sel->info.input_semantic_name[i];
-                       unsigned index = sel->info.input_semantic_index[i];
+               sel->max_gs_stream = 0;
+               for (i = 0; i < sel->so.num_outputs; i++)
+                       sel->max_gs_stream = MAX2(sel->max_gs_stream,
+                                                 sel->so.output[i].stream);
 
-                       switch (name) {
-                       case TGSI_SEMANTIC_PRIMID:
-                               break;
-                       default:
-                               sel->inputs_read |=
-                                       1llu << si_shader_io_get_unique_index(name, index);
-                       }
-               }
+               sel->gs_input_verts_per_prim =
+                       u_vertices_per_prim(sel->info.properties[TGSI_PROPERTY_GS_INPUT_PRIM]);
                break;
 
        case PIPE_SHADER_VERTEX:
        case PIPE_SHADER_TESS_CTRL:
+       case PIPE_SHADER_TESS_EVAL:
                for (i = 0; i < sel->info.num_outputs; i++) {
                        unsigned name = sel->info.output_semantic_name[i];
                        unsigned index = sel->info.output_semantic_index[i];
@@ -748,18 +761,29 @@ static void *si_create_shader_selector(struct pipe_context *ctx,
                                        1llu << si_shader_io_get_unique_index(name, index);
                        }
                }
+               sel->esgs_itemsize = util_last_bit64(sel->outputs_written) * 16;
                break;
-       case PIPE_SHADER_FRAGMENT:
-               for (i = 0; i < sel->info.num_outputs; i++) {
-                       unsigned name = sel->info.output_semantic_name[i];
-                       unsigned index = sel->info.output_semantic_index[i];
+       }
 
-                       if (name == TGSI_SEMANTIC_COLOR)
-                               sel->ps_colors_written |= 1 << index;
-               }
+       /* DB_SHADER_CONTROL */
+       sel->db_shader_control =
+               S_02880C_Z_EXPORT_ENABLE(sel->info.writes_z) |
+               S_02880C_STENCIL_TEST_VAL_EXPORT_ENABLE(sel->info.writes_stencil) |
+               S_02880C_MASK_EXPORT_ENABLE(sel->info.writes_samplemask) |
+               S_02880C_KILL_ENABLE(sel->info.uses_kill);
+
+       switch (sel->info.properties[TGSI_PROPERTY_FS_DEPTH_LAYOUT]) {
+       case TGSI_FS_DEPTH_LAYOUT_GREATER:
+               sel->db_shader_control |=
+                       S_02880C_CONSERVATIVE_Z_EXPORT(V_02880C_EXPORT_GREATER_THAN_Z);
+               break;
+       case TGSI_FS_DEPTH_LAYOUT_LESS:
+               sel->db_shader_control |=
+                       S_02880C_CONSERVATIVE_Z_EXPORT(V_02880C_EXPORT_LESS_THAN_Z);
                break;
        }
 
+       /* Pre-compilation. */
        if (sscreen->b.debug_flags & DBG_PRECOMPILE) {
                struct si_shader_ctx_state state = {sel};
 
@@ -799,11 +823,11 @@ static void si_bind_vs_shader(struct pipe_context *ctx, void *state)
        struct si_context *sctx = (struct si_context *)ctx;
        struct si_shader_selector *sel = state;
 
-       if (sctx->vs_shader.cso == sel || !sel)
+       if (sctx->vs_shader.cso == sel)
                return;
 
        sctx->vs_shader.cso = sel;
-       sctx->vs_shader.current = sel->first_variant;
+       sctx->vs_shader.current = sel ? sel->first_variant : NULL;
        si_mark_atom_dirty(sctx, &sctx->clip_regs);
        si_update_viewports_and_scissors(sctx);
 }
@@ -864,16 +888,6 @@ static void si_bind_tes_shader(struct pipe_context *ctx, void *state)
        si_update_viewports_and_scissors(sctx);
 }
 
-static void si_make_dummy_ps(struct si_context *sctx)
-{
-       if (!sctx->dummy_pixel_shader) {
-               sctx->dummy_pixel_shader =
-                       util_make_fragment_cloneinput_shader(&sctx->b.b, 0,
-                                                            TGSI_SEMANTIC_GENERIC,
-                                                            TGSI_INTERPOLATE_CONSTANT);
-       }
-}
-
 static void si_bind_ps_shader(struct pipe_context *ctx, void *state)
 {
        struct si_context *sctx = (struct si_context *)ctx;
@@ -883,14 +897,8 @@ static void si_bind_ps_shader(struct pipe_context *ctx, void *state)
        if (sctx->ps_shader.cso == sel)
                return;
 
-       /* use a dummy shader if binding a NULL shader */
-       if (!sel) {
-               si_make_dummy_ps(sctx);
-               sel = sctx->dummy_pixel_shader;
-       }
-
        sctx->ps_shader.cso = sel;
-       sctx->ps_shader.current = sel->first_variant;
+       sctx->ps_shader.current = sel ? sel->first_variant : NULL;
        si_mark_atom_dirty(sctx, &sctx->cb_target_mask);
 }
 
@@ -953,16 +961,18 @@ static void si_delete_shader_selector(struct pipe_context *ctx, void *state)
 
 static void si_emit_spi_map(struct si_context *sctx, struct r600_atom *atom)
 {
-       struct radeon_winsys_cs *cs = sctx->b.rings.gfx.cs;
+       struct radeon_winsys_cs *cs = sctx->b.gfx.cs;
        struct si_shader *ps = sctx->ps_shader.current;
        struct si_shader *vs = si_get_vs_state(sctx);
-       struct tgsi_shader_info *psinfo = &ps->selector->info;
+       struct tgsi_shader_info *psinfo;
        struct tgsi_shader_info *vsinfo = &vs->selector->info;
        unsigned i, j, tmp, num_written = 0;
 
-       if (!ps->nparam)
+       if (!ps || !ps->nparam)
                return;
 
+       psinfo = &ps->selector->info;
+
        radeon_set_context_reg_seq(cs, R_028644_SPI_PS_INPUT_CNTL_0, ps->nparam);
 
        for (i = 0; i < psinfo->num_inputs; i++) {
@@ -1023,9 +1033,14 @@ bcolor:
 
 static void si_emit_spi_ps_input(struct si_context *sctx, struct r600_atom *atom)
 {
-       struct radeon_winsys_cs *cs = sctx->b.rings.gfx.cs;
+       struct radeon_winsys_cs *cs = sctx->b.gfx.cs;
        struct si_shader *ps = sctx->ps_shader.current;
-       unsigned input_ena = ps->spi_ps_input_ena;
+       unsigned input_ena;
+
+       if (!ps)
+               return;
+
+       input_ena = ps->config.spi_ps_input_ena;
 
        /* we need to enable at least one of them, otherwise we hang the GPU */
        assert(G_0286CC_PERSP_SAMPLE_ENA(input_ena) ||
@@ -1086,6 +1101,7 @@ static void si_init_config_add_vgt_flush(struct si_context *sctx)
        if (sctx->init_config_has_vgt_flush)
                return;
 
+       /* VGT_FLUSH is required even if VGT is idle. It resets VGT pointers. */
        si_pm4_cmd_begin(sctx->init_config, PKT3_EVENT_WRITE);
        si_pm4_cmd_add(sctx->init_config, EVENT_TYPE(V_028A90_VGT_FLUSH) | EVENT_INDEX(0));
        si_pm4_cmd_end(sctx->init_config, false);
@@ -1093,70 +1109,127 @@ static void si_init_config_add_vgt_flush(struct si_context *sctx)
 }
 
 /* Initialize state related to ESGS / GSVS ring buffers */
-static void si_init_gs_rings(struct si_context *sctx)
+static bool si_update_gs_ring_buffers(struct si_context *sctx)
 {
-       unsigned esgs_ring_size = 128 * 1024;
-       unsigned gsvs_ring_size = 60 * 1024 * 1024;
+       struct si_shader_selector *es =
+               sctx->tes_shader.cso ? sctx->tes_shader.cso : sctx->vs_shader.cso;
+       struct si_shader_selector *gs = sctx->gs_shader.cso;
+       struct si_pm4_state *pm4;
 
-       assert(!sctx->esgs_ring && !sctx->gsvs_ring);
+       /* Chip constants. */
+       unsigned num_se = sctx->screen->b.info.max_se;
+       unsigned wave_size = 64;
+       unsigned max_gs_waves = 32 * num_se; /* max 32 per SE on GCN */
+       unsigned gs_vertex_reuse = 16 * num_se; /* GS_VERTEX_REUSE register (per SE) */
+       unsigned alignment = 256 * num_se;
+       /* The maximum size is 63.999 MB per SE. */
+       unsigned max_size = ((unsigned)(63.999 * 1024 * 1024) & ~255) * num_se;
+
+       /* Calculate the minimum size. */
+       unsigned min_esgs_ring_size = align(es->esgs_itemsize * gs_vertex_reuse *
+                                           wave_size, alignment);
+
+       /* These are recommended sizes, not minimum sizes. */
+       unsigned esgs_ring_size = max_gs_waves * 2 * wave_size *
+                                 es->esgs_itemsize * gs->gs_input_verts_per_prim;
+       unsigned gsvs_ring_size = max_gs_waves * 2 * wave_size *
+                                 gs->max_gsvs_emit_size * (gs->max_gs_stream + 1);
+
+       min_esgs_ring_size = align(min_esgs_ring_size, alignment);
+       esgs_ring_size = align(esgs_ring_size, alignment);
+       gsvs_ring_size = align(gsvs_ring_size, alignment);
+
+       esgs_ring_size = CLAMP(esgs_ring_size, min_esgs_ring_size, max_size);
+       gsvs_ring_size = MIN2(gsvs_ring_size, max_size);
+
+       /* Some rings don't have to be allocated if shaders don't use them.
+        * (e.g. no varyings between ES and GS or GS and VS)
+        */
+       bool update_esgs = esgs_ring_size &&
+                          (!sctx->esgs_ring ||
+                           sctx->esgs_ring->width0 < esgs_ring_size);
+       bool update_gsvs = gsvs_ring_size &&
+                          (!sctx->gsvs_ring ||
+                           sctx->gsvs_ring->width0 < gsvs_ring_size);
 
-       sctx->esgs_ring = pipe_buffer_create(sctx->b.b.screen, PIPE_BIND_CUSTOM,
-                                      PIPE_USAGE_DEFAULT, esgs_ring_size);
-       if (!sctx->esgs_ring)
-               return;
+       if (!update_esgs && !update_gsvs)
+               return true;
 
-       sctx->gsvs_ring = pipe_buffer_create(sctx->b.b.screen, PIPE_BIND_CUSTOM,
-                                            PIPE_USAGE_DEFAULT, gsvs_ring_size);
-       if (!sctx->gsvs_ring) {
+       if (update_esgs) {
                pipe_resource_reference(&sctx->esgs_ring, NULL);
-               return;
+               sctx->esgs_ring = pipe_buffer_create(sctx->b.b.screen, PIPE_BIND_CUSTOM,
+                                                    PIPE_USAGE_DEFAULT,
+                                                    esgs_ring_size);
+               if (!sctx->esgs_ring)
+                       return false;
        }
 
-       si_init_config_add_vgt_flush(sctx);
+       if (update_gsvs) {
+               pipe_resource_reference(&sctx->gsvs_ring, NULL);
+               sctx->gsvs_ring = pipe_buffer_create(sctx->b.b.screen, PIPE_BIND_CUSTOM,
+                                                    PIPE_USAGE_DEFAULT,
+                                                    gsvs_ring_size);
+               if (!sctx->gsvs_ring)
+                       return false;
+       }
+
+       /* Create the "init_config_gs_rings" state. */
+       pm4 = CALLOC_STRUCT(si_pm4_state);
+       if (!pm4)
+               return false;
 
-       /* Append these registers to the init config state. */
        if (sctx->b.chip_class >= CIK) {
-               if (sctx->b.chip_class >= VI) {
-                       /* The maximum sizes are 63.999 MB on VI, because
-                        * the register fields only have 18 bits. */
-                       assert(esgs_ring_size / 256 < (1 << 18));
-                       assert(gsvs_ring_size / 256 < (1 << 18));
-               }
-               si_pm4_set_reg(sctx->init_config, R_030900_VGT_ESGS_RING_SIZE,
-                              esgs_ring_size / 256);
-               si_pm4_set_reg(sctx->init_config, R_030904_VGT_GSVS_RING_SIZE,
-                              gsvs_ring_size / 256);
+               if (sctx->esgs_ring)
+                       si_pm4_set_reg(pm4, R_030900_VGT_ESGS_RING_SIZE,
+                                      sctx->esgs_ring->width0 / 256);
+               if (sctx->gsvs_ring)
+                       si_pm4_set_reg(pm4, R_030904_VGT_GSVS_RING_SIZE,
+                                      sctx->gsvs_ring->width0 / 256);
        } else {
-               si_pm4_set_reg(sctx->init_config, R_0088C8_VGT_ESGS_RING_SIZE,
-                              esgs_ring_size / 256);
-               si_pm4_set_reg(sctx->init_config, R_0088CC_VGT_GSVS_RING_SIZE,
-                              gsvs_ring_size / 256);
+               if (sctx->esgs_ring)
+                       si_pm4_set_reg(pm4, R_0088C8_VGT_ESGS_RING_SIZE,
+                                      sctx->esgs_ring->width0 / 256);
+               if (sctx->gsvs_ring)
+                       si_pm4_set_reg(pm4, R_0088CC_VGT_GSVS_RING_SIZE,
+                                      sctx->gsvs_ring->width0 / 256);
        }
 
-       /* Flush the context to re-emit the init_config state.
-        * This is done only once in a lifetime of a context.
-        */
-       si_pm4_upload_indirect_buffer(sctx, sctx->init_config);
+       /* Set the state. */
+       if (sctx->init_config_gs_rings)
+               si_pm4_free_state(sctx, sctx->init_config_gs_rings, ~0);
+       sctx->init_config_gs_rings = pm4;
+
+       if (!sctx->init_config_has_vgt_flush) {
+               si_init_config_add_vgt_flush(sctx);
+               si_pm4_upload_indirect_buffer(sctx, sctx->init_config);
+       }
+
+       /* Flush the context to re-emit both init_config states. */
        sctx->b.initial_gfx_cs_size = 0; /* force flush */
        si_context_gfx_flush(sctx, RADEON_FLUSH_ASYNC, NULL);
 
-       si_set_ring_buffer(&sctx->b.b, PIPE_SHADER_VERTEX, SI_RING_ESGS,
-                          sctx->esgs_ring, 0, esgs_ring_size,
-                          true, true, 4, 64, 0);
-       si_set_ring_buffer(&sctx->b.b, PIPE_SHADER_GEOMETRY, SI_RING_ESGS,
-                          sctx->esgs_ring, 0, esgs_ring_size,
-                          false, false, 0, 0, 0);
-       si_set_ring_buffer(&sctx->b.b, PIPE_SHADER_VERTEX, SI_RING_GSVS,
-                          sctx->gsvs_ring, 0, gsvs_ring_size,
-                          false, false, 0, 0, 0);
+       /* Set ring bindings. */
+       if (sctx->esgs_ring) {
+               si_set_ring_buffer(&sctx->b.b, PIPE_SHADER_VERTEX, SI_RING_ESGS,
+                                  sctx->esgs_ring, 0, sctx->esgs_ring->width0,
+                                  true, true, 4, 64, 0);
+               si_set_ring_buffer(&sctx->b.b, PIPE_SHADER_GEOMETRY, SI_RING_ESGS,
+                                  sctx->esgs_ring, 0, sctx->esgs_ring->width0,
+                                  false, false, 0, 0, 0);
+       }
+       if (sctx->gsvs_ring)
+               si_set_ring_buffer(&sctx->b.b, PIPE_SHADER_VERTEX, SI_RING_GSVS,
+                                  sctx->gsvs_ring, 0, sctx->gsvs_ring->width0,
+                                  false, false, 0, 0, 0);
+       return true;
 }
 
-static void si_update_gs_rings(struct si_context *sctx)
+static void si_update_gsvs_ring_bindings(struct si_context *sctx)
 {
-       unsigned gsvs_itemsize = sctx->gs_shader.cso->gsvs_itemsize;
+       unsigned gsvs_itemsize = sctx->gs_shader.cso->max_gsvs_emit_size;
        uint64_t offset;
 
-       if (gsvs_itemsize == sctx->last_gsvs_itemsize)
+       if (!sctx->gsvs_ring || gsvs_itemsize == sctx->last_gsvs_itemsize)
                return;
 
        sctx->last_gsvs_itemsize = gsvs_itemsize;
@@ -1196,7 +1269,7 @@ static int si_update_scratch_buffer(struct si_context *sctx,
                return 0;
 
        /* This shader doesn't need a scratch buffer */
-       if (shader->scratch_bytes_per_wave == 0)
+       if (shader->config.scratch_bytes_per_wave == 0)
                return 0;
 
        /* This shader is already configured to use the current
@@ -1228,7 +1301,7 @@ static unsigned si_get_current_scratch_buffer_size(struct si_context *sctx)
 
 static unsigned si_get_scratch_buffer_bytes_per_wave(struct si_shader *shader)
 {
-       return shader ? shader->scratch_bytes_per_wave : 0;
+       return shader ? shader->config.scratch_bytes_per_wave : 0;
 }
 
 static unsigned si_get_max_scratch_bytes_per_wave(struct si_context *sctx)
@@ -1517,13 +1590,10 @@ bool si_update_shaders(struct si_context *sctx)
                si_pm4_bind_state(sctx, vs, sctx->gs_shader.current->gs_copy_shader->pm4);
                si_update_so(sctx, sctx->gs_shader.cso);
 
-               if (!sctx->gsvs_ring) {
-                       si_init_gs_rings(sctx);
-                       if (!sctx->gsvs_ring)
-                               return false;
-               }
+               if (!si_update_gs_ring_buffers(sctx))
+                       return false;
 
-               si_update_gs_rings(sctx);
+               si_update_gsvs_ring_bindings(sctx);
        } else {
                si_pm4_bind_state(sctx, gs, NULL);
                si_pm4_bind_state(sctx, es, NULL);
@@ -1531,23 +1601,42 @@ bool si_update_shaders(struct si_context *sctx)
 
        si_update_vgt_shader_config(sctx);
 
-       r = si_shader_select(ctx, &sctx->ps_shader);
-       if (r)
-               return false;
-       si_pm4_bind_state(sctx, ps, sctx->ps_shader.current->pm4);
-
-       if (si_pm4_state_changed(sctx, ps) || si_pm4_state_changed(sctx, vs) ||
-           sctx->sprite_coord_enable != rs->sprite_coord_enable ||
-           sctx->flatshade != rs->flatshade) {
-               sctx->sprite_coord_enable = rs->sprite_coord_enable;
-               sctx->flatshade = rs->flatshade;
-               si_mark_atom_dirty(sctx, &sctx->spi_map);
-       }
+       if (sctx->ps_shader.cso) {
+               unsigned db_shader_control =
+                       sctx->ps_shader.cso->db_shader_control |
+                       S_02880C_KILL_ENABLE(si_get_alpha_test_func(sctx) != PIPE_FUNC_ALWAYS);
+
+               r = si_shader_select(ctx, &sctx->ps_shader);
+               if (r)
+                       return false;
+               si_pm4_bind_state(sctx, ps, sctx->ps_shader.current->pm4);
+
+               if (si_pm4_state_changed(sctx, ps) || si_pm4_state_changed(sctx, vs) ||
+                   sctx->sprite_coord_enable != rs->sprite_coord_enable ||
+                   sctx->flatshade != rs->flatshade) {
+                       sctx->sprite_coord_enable = rs->sprite_coord_enable;
+                       sctx->flatshade = rs->flatshade;
+                       si_mark_atom_dirty(sctx, &sctx->spi_map);
+               }
+
+               if (si_pm4_state_changed(sctx, ps) ||
+                   sctx->force_persample_interp != rs->force_persample_interp) {
+                       sctx->force_persample_interp = rs->force_persample_interp;
+                       si_mark_atom_dirty(sctx, &sctx->spi_ps_input);
+               }
 
-       if (si_pm4_state_changed(sctx, ps) ||
-           sctx->force_persample_interp != rs->force_persample_interp) {
-               sctx->force_persample_interp = rs->force_persample_interp;
-               si_mark_atom_dirty(sctx, &sctx->spi_ps_input);
+               if (sctx->ps_db_shader_control != db_shader_control) {
+                       sctx->ps_db_shader_control = db_shader_control;
+                       si_mark_atom_dirty(sctx, &sctx->db_render_state);
+               }
+
+               if (sctx->smoothing_enabled != sctx->ps_shader.current->key.ps.poly_line_smoothing) {
+                       sctx->smoothing_enabled = sctx->ps_shader.current->key.ps.poly_line_smoothing;
+                       si_mark_atom_dirty(sctx, &sctx->msaa_config);
+
+                       if (sctx->b.chip_class == SI)
+                               si_mark_atom_dirty(sctx, &sctx->db_render_state);
+               }
        }
 
        if (si_pm4_state_changed(sctx, ls) ||
@@ -1559,19 +1648,6 @@ bool si_update_shaders(struct si_context *sctx)
                if (!si_update_spi_tmpring_size(sctx))
                        return false;
        }
-
-       if (sctx->ps_db_shader_control != sctx->ps_shader.current->db_shader_control) {
-               sctx->ps_db_shader_control = sctx->ps_shader.current->db_shader_control;
-               si_mark_atom_dirty(sctx, &sctx->db_render_state);
-       }
-
-       if (sctx->smoothing_enabled != sctx->ps_shader.current->key.ps.poly_line_smoothing) {
-               sctx->smoothing_enabled = sctx->ps_shader.current->key.ps.poly_line_smoothing;
-               si_mark_atom_dirty(sctx, &sctx->msaa_config);
-
-               if (sctx->b.chip_class == SI)
-                       si_mark_atom_dirty(sctx, &sctx->db_render_state);
-       }
        return true;
 }