split out EXP-High shifter to separate module
[ieee754fpu.git] / src / ieee754 / fpcommon / postnormalise.py
index 1d9ee94f04d1b7b3e4d35f94cd7819c3208fc4b2..25dca7adff7c2ab5473d6d40c6d29e13dad11a62 100644 (file)
@@ -3,43 +3,48 @@
 # 2013-12-12
 
 from nmigen import Module, Signal, Cat, Mux, Elaboratable
-from nmigen.lib.coding import PriorityEncoder
 from nmigen.cli import main, verilog
 from math import log
 
-from ieee754.fpcommon.fpbase import Overflow, FPNumBase, FPNumBaseRecord
-from ieee754.fpcommon.fpbase import MultiShiftRMerge
+from ieee754.fpcommon.fpbase import (Overflow, OverflowMod,
+                                     FPNumBase, FPNumBaseRecord)
 from ieee754.fpcommon.fpbase import FPState
+from ieee754.fpcommon.getop import FPPipeContext
+from ieee754.fpcommon.msbhigh import FPMSBHigh
+from ieee754.fpcommon.exphigh import FPEXPHigh
 from .postcalc import FPAddStage1Data
 
 
 class FPNorm1Data:
 
-    def __init__(self, width, id_wid):
+    def __init__(self, pspec):
+        width = pspec.width
         self.roundz = Signal(reset_less=True, name="norm1_roundz")
         self.z = FPNumBaseRecord(width, False)
         self.out_do_z = Signal(reset_less=True)
         self.oz = Signal(width, reset_less=True)
-        self.mid = Signal(id_wid, reset_less=True)
+        self.ctx = FPPipeContext(pspec)
+        self.muxid = self.ctx.muxid
 
     def eq(self, i):
-        return [self.z.eq(i.z), self.out_do_z.eq(i.out_do_z), self.oz.eq(i.oz),
-                self.roundz.eq(i.roundz), self.mid.eq(i.mid)]
+        ret = [self.z.eq(i.z), self.out_do_z.eq(i.out_do_z), self.oz.eq(i.oz),
+                self.roundz.eq(i.roundz), self.ctx.eq(i.ctx)]
+        return ret
 
 
 class FPNorm1ModSingle(Elaboratable):
 
-    def __init__(self, width, id_wid):
-        self.width = width
-        self.id_wid = id_wid
+    def __init__(self, pspec, e_extra=False):
+        self.pspec = pspec
+        self.e_extra = e_extra
         self.i = self.ispec()
         self.o = self.ospec()
 
     def ispec(self):
-        return FPAddStage1Data(self.width, self.id_wid)
+        return FPAddStage1Data(self.pspec, e_extra=self.e_extra)
 
     def ospec(self):
-        return FPNorm1Data(self.width, self.id_wid)
+        return FPNorm1Data(self.pspec)
 
     def setup(self, m, i):
         """ links module to inputs and outputs
@@ -53,31 +58,34 @@ class FPNorm1ModSingle(Elaboratable):
     def elaborate(self, platform):
         m = Module()
 
-        mwid = self.o.z.m_width+2
-        pe = PriorityEncoder(mwid)
-        m.submodules.norm_pe = pe
-
-        of = Overflow()
-        m.d.comb += self.o.roundz.eq(of.roundz)
+        of = OverflowMod("norm1of_")
 
         #m.submodules.norm1_out_z = self.o.z
-        #m.submodules.norm1_out_overflow = of
+        m.submodules.norm1_out_overflow = of
         #m.submodules.norm1_in_z = self.i.z
         #m.submodules.norm1_in_overflow = self.i.of
 
         i = self.ispec()
+        i.of.guard.name = "norm1_i_of_guard"
+        i.of.round_bit.name = "norm1_i_of_roundbit"
+        i.of.sticky.name = "norm1_i_of_sticky"
+        i.of.m0.name = "norm1_i_of_m0"
         m.submodules.norm1_insel_z = insel_z = FPNumBase(i.z)
-        #m.submodules.norm1_insel_overflow = i.of
+        #m.submodules.norm1_insel_overflow = iof = OverflowMod("iof")
 
         espec = (len(insel_z.e), True)
-        ediff_n126 = Signal(espec, reset_less=True)
-        msr = MultiShiftRMerge(mwid+2, espec)
-        m.submodules.multishift_r = msr
+        mwid = self.o.z.m_width+2
+
+        msr = FPEXPHigh(mwid+2, espec[0])
+        m.submodules.norm_exp = msr
+
+        msb = FPMSBHigh(mwid+1, espec[0], True)
+        m.submodules.norm_msb = msb
 
         m.d.comb += i.eq(self.i)
         # initialise out from in (overridden below)
         m.d.comb += self.o.z.eq(insel_z)
-        m.d.comb += of.eq(i.of)
+        m.d.comb += Overflow.eq(of, i.of)
         # normalisation increase/decrease conditions
         decrease = Signal(reset_less=True)
         increase = Signal(reset_less=True)
@@ -85,50 +93,53 @@ class FPNorm1ModSingle(Elaboratable):
         m.d.comb += increase.eq(insel_z.exp_lt_n126)
         # decrease exponent
         with m.If(~self.i.out_do_z):
+            # concatenate s/r/g with mantissa
+            temp_m = Signal(mwid+2, reset_less=True)
+            m.d.comb += temp_m.eq(Cat(i.of.sticky, i.of.round_bit, i.of.guard,
+                                      insel_z.m)),
+
             with m.If(decrease):
-                # *sigh* not entirely obvious: count leading zeros (clz)
-                # with a PriorityEncoder: to find from the MSB
-                # we reverse the order of the bits.
-                temp_m = Signal(mwid, reset_less=True)
-                temp_s = Signal(mwid+1, reset_less=True)
-                clz = Signal((len(insel_z.e), True), reset_less=True)
                 # make sure that the amount to decrease by does NOT
                 # go below the minimum non-INF/NaN exponent
-                limclz = Mux(insel_z.exp_sub_n126 > pe.o, pe.o,
-                             insel_z.exp_sub_n126)
+                m.d.comb += msb.limclz.eq(insel_z.exp_sub_n126)
                 m.d.comb += [
-                    # cat round and guard bits back into the mantissa
-                    temp_m.eq(Cat(i.of.round_bit, i.of.guard, insel_z.m)),
-                    pe.i.eq(temp_m[::-1]),          # inverted
-                    clz.eq(limclz),                 # count zeros from MSB down
-                    temp_s.eq(temp_m << clz),       # shift mantissa UP
-                    self.o.z.e.eq(insel_z.e - clz),  # DECREASE exponent
-                    self.o.z.m.eq(temp_s[2:]),    # exclude bits 0&1
-                    of.m0.eq(temp_s[2]),          # copy of mantissa[0]
+                    # inputs: mantissa and exponent
+                    msb.m_in.eq(temp_m),
+                    msb.e_in.eq(insel_z.e),
+
+                    # outputs: mantissa first (s/r/g/m[3:])
+                    self.o.z.m.eq(msb.m_out[3:]),    # exclude bits 0&1
+                    of.m0.eq(msb.m_out[3]),          # copy of mantissa[0]
                     # overflow in bits 0..1: got shifted too (leave sticky)
-                    of.guard.eq(temp_s[1]),       # guard
-                    of.round_bit.eq(temp_s[0]),   # round
+                    of.guard.eq(msb.m_out[2]),       # guard
+                    of.round_bit.eq(msb.m_out[1]),   # round
+                    # now exponent out
+                    self.o.z.e.eq(msb.e_out),
                 ]
             # increase exponent
             with m.Elif(increase):
-                temp_m = Signal(mwid+1, reset_less=True)
+                ediff_n126 = Signal(espec, reset_less=True)
                 m.d.comb += [
-                    temp_m.eq(Cat(i.of.sticky, i.of.round_bit, i.of.guard,
-                                  insel_z.m)),
+                    # concatenate
                     ediff_n126.eq(insel_z.fp.N126 - insel_z.e),
-                    # connect multi-shifter to inp/out mantissa (and ediff)
-                    msr.inp.eq(temp_m),
-                    msr.diff.eq(ediff_n126),
-                    self.o.z.m.eq(msr.m[3:]),
-                    of.m0.eq(msr.m[3]),   # copy of mantissa[0]
-                    # overflow in bits 0..1: got shifted too (leave sticky)
-                    of.guard.eq(msr.m[2]),     # guard
-                    of.round_bit.eq(msr.m[1]), # round
-                    of.sticky.eq(msr.m[0]),    # sticky
-                    self.o.z.e.eq(insel_z.e + ediff_n126),
+                    # connect multi-shifter to inp/out m/e (and ediff)
+                    msr.m_in.eq(temp_m),
+                    msr.e_in.eq(insel_z.e),
+                    msr.ediff.eq(ediff_n126),
+
+                    # outputs: mantissa first (s/r/g/m[3:])
+                    self.o.z.m.eq(msr.m_out[3:]),
+                    of.m0.eq(msr.m_out[3]),   # copy of mantissa[0]
+                    # overflow in bits 0..2: got shifted too (leave sticky)
+                    of.guard.eq(msr.m_out[2]),     # guard
+                    of.round_bit.eq(msr.m_out[1]), # round
+                    of.sticky.eq(msr.m_out[0]),    # sticky
+                    # now exponent
+                    self.o.z.e.eq(msr.e_out),
                 ]
 
-        m.d.comb += self.o.mid.eq(self.i.mid)
+        m.d.comb += self.o.roundz.eq(of.roundz_out)
+        m.d.comb += self.o.ctx.eq(self.i.ctx)
         m.d.comb += self.o.out_do_z.eq(self.i.out_do_z)
         m.d.comb += self.o.oz.eq(self.i.oz)
 
@@ -137,7 +148,7 @@ class FPNorm1ModSingle(Elaboratable):
 
 class FPNorm1ModMulti:
 
-    def __init__(self, width, single_cycle=True):
+    def __init__(self, pspec, single_cycle=True):
         self.width = width
         self.in_select = Signal(reset_less=True)
         self.in_z = FPNumBase(width, False)