greenpak4: added model for GP_EDGEDET block
[yosys.git] / techlibs / greenpak4 / cells_sim.v
index 554e2e13f2b6a9a6988ce99618348076fbbb2e72..8a1794fc16df1fcb455315a1274e1704750ac3b7 100644 (file)
@@ -1,3 +1,5 @@
+`timescale 1ns/1ps
+
 module GP_2LUT(input IN0, IN1, output OUT);
        parameter [3:0] INIT = 0;
        assign OUT = INIT[{IN1, IN0}];
@@ -13,7 +15,28 @@ module GP_4LUT(input IN0, IN1, IN2, IN3, output OUT);
        assign OUT = INIT[{IN3, IN2, IN1, IN0}];
 endmodule
 
-module GP_BANDGAP(output reg OK, output reg VOUT);
+module GP_ABUF(input wire IN, output wire OUT);
+       
+       assign OUT = IN;
+       
+       //cannot simulate mixed signal IP
+       
+endmodule
+
+module GP_ACMP(input wire PWREN, input wire VIN, input wire VREF, output reg OUT);
+
+       parameter BANDWIDTH = "HIGH";
+       parameter VIN_ATTEN = 1;
+       parameter VIN_ISRC_EN = 0;
+       parameter HYSTERESIS = 0;
+       
+       initial OUT = 0;
+       
+       //cannot simulate mixed signal IP
+
+endmodule
+
+module GP_BANDGAP(output reg OK);
        parameter AUTO_PWRDN = 1;
        parameter CHOPPER_EN = 1;
        parameter OUT_DELAY = 100;
@@ -46,7 +69,7 @@ module GP_COUNT8(input CLK, input wire RST, output reg OUT);
                count           <= count - 1'd1;
                
                if(count == 0)
-                       count   <= COUNT_MAX;
+                       count   <= COUNT_TO;
                        
                /*
                if((RESET_MODE == "RISING") && RST)
@@ -71,6 +94,69 @@ module GP_COUNT14(input CLK, input wire RST, output reg OUT);
 
 endmodule
 
+module GP_COUNT8_ADV(input CLK, input RST, output reg OUT,
+                     input UP, input KEEP);
+
+       parameter RESET_MODE    = "RISING";
+       parameter RESET_VALUE   = "ZERO";
+
+       parameter COUNT_TO              = 8'h1;
+       parameter CLKIN_DIVIDE  = 1;
+
+       //more complex hard IP blocks are not supported for simulation yet
+
+endmodule
+
+module GP_COUNT14_ADV(input CLK, input RST, output reg OUT,
+                      input UP, input KEEP);
+
+       parameter RESET_MODE    = "RISING";
+       parameter RESET_VALUE   = "ZERO";
+
+       parameter COUNT_TO              = 14'h1;
+       parameter CLKIN_DIVIDE  = 1;
+
+       //more complex hard IP blocks are not supported for simulation yet
+
+endmodule
+
+module GP_DAC(input[7:0] DIN, input wire VREF, output reg VOUT);
+
+       initial VOUT = 0;
+
+       //analog hard IP is not supported for simulation
+
+endmodule
+
+module GP_DELAY(input IN, output reg OUT);
+       
+       parameter DELAY_STEPS = 1;
+       
+       //TODO: additional delay/glitch filter mode
+       
+       initial OUT = 0;
+       
+       generate
+               
+               //TODO: These delays are PTV dependent! For now, hard code 3v3 timing
+               //Change simulation-mode delay depending on global Vdd range (how to specify this?)
+               always @(*) begin
+                       case(DELAY_STEPS)
+                               1: #166 OUT = IN;
+                               2: #318 OUT = IN;
+                               2: #471 OUT = IN;
+                               3: #622 OUT = IN;
+                               default: begin
+                                       $display("ERROR: GP_DELAY must have DELAY_STEPS in range [1,4]");
+                                       $finish;
+                               end
+                       endcase
+               end
+               
+       endgenerate
+       
+endmodule
+
 module GP_DFF(input D, CLK, output reg Q);
        parameter [0:0] INIT = 1'bx;
        initial Q = INIT;
@@ -79,6 +165,14 @@ module GP_DFF(input D, CLK, output reg Q);
        end
 endmodule
 
+module GP_DFFI(input D, CLK, output reg nQ);
+       parameter [0:0] INIT = 1'bx;
+       initial nQ = INIT;
+       always @(posedge CLK) begin
+               nQ <= ~D;
+       end
+endmodule
+
 module GP_DFFR(input D, CLK, nRST, output reg Q);
        parameter [0:0] INIT = 1'bx;
        initial Q = INIT;
@@ -90,6 +184,17 @@ module GP_DFFR(input D, CLK, nRST, output reg Q);
        end
 endmodule
 
+module GP_DFFRI(input D, CLK, nRST, output reg nQ);
+       parameter [0:0] INIT = 1'bx;
+       initial nQ = INIT;
+       always @(posedge CLK, negedge nRST) begin
+               if (!nRST)
+                       nQ <= 1'b1;
+               else
+                       nQ <= ~D;
+       end
+endmodule
+
 module GP_DFFS(input D, CLK, nSET, output reg Q);
        parameter [0:0] INIT = 1'bx;
        initial Q = INIT;
@@ -101,6 +206,17 @@ module GP_DFFS(input D, CLK, nSET, output reg Q);
        end
 endmodule
 
+module GP_DFFSI(input D, CLK, nSET, output reg nQ);
+       parameter [0:0] INIT = 1'bx;
+       initial nQ = INIT;
+       always @(posedge CLK, negedge nSET) begin
+               if (!nSET)
+                       nQ <= 1'b0;
+               else
+                       nQ <= ~D;
+       end
+endmodule
+
 module GP_DFFSR(input D, CLK, nSR, output reg Q);
        parameter [0:0] INIT = 1'bx;
        parameter [0:0] SRMODE = 1'bx;
@@ -113,6 +229,37 @@ module GP_DFFSR(input D, CLK, nSR, output reg Q);
        end
 endmodule
 
+module GP_DFFSRI(input D, CLK, nSR, output reg nQ);
+       parameter [0:0] INIT = 1'bx;
+       parameter [0:0] SRMODE = 1'bx;
+       initial nQ = INIT;
+       always @(posedge CLK, negedge nSR) begin
+               if (!nSR)
+                       nQ <= ~SRMODE;
+               else
+                       nQ <= ~D;
+       end
+endmodule
+
+module GP_EDGEDET(input IN, output reg OUT);
+
+       parameter EDGE_DIRECTION = "RISING";
+       parameter DELAY_STEPS = 1;
+       parameter GLITCH_FILTER = 0;
+       
+       //not implemented for simulation
+       
+endmodule
+
+module GP_IBUF(input IN, output OUT);
+       assign OUT = IN;
+endmodule
+
+module GP_IOBUF(input IN, input OE, output OUT, inout IO);
+       assign OUT = IO;
+       assign IO = OE ? IN : 1'bz;
+endmodule
+
 module GP_INV(input IN, output OUT);
        assign OUT = ~IN;
 endmodule
@@ -140,6 +287,25 @@ module GP_LFOSC(input PWRDN, output reg CLKOUT);
        
 endmodule
 
+module GP_OBUF(input IN, output OUT);
+       assign OUT = IN;
+endmodule
+
+module GP_OBUFT(input IN, input OE, output OUT);
+       assign OUT = OE ? IN : 1'bz;
+endmodule
+
+module GP_PGA(input wire VIN_P, input wire VIN_N, input wire VIN_SEL, output reg VOUT);
+
+       parameter GAIN = 1;
+       parameter INPUT_MODE = "SINGLE";
+
+       initial VOUT = 0;
+
+       //cannot simulate mixed signal IP
+
+endmodule
+
 module GP_POR(output reg RST_DONE);
        parameter POR_TIME = 500;
        
@@ -161,15 +327,15 @@ module GP_POR(output reg RST_DONE);
        
 endmodule
 
-module GP_RCOSC(input PWRDN, output reg CLKOUT_PREDIV, output reg CLKOUT_FABRIC);
+module GP_RCOSC(input PWRDN, output reg CLKOUT_HARDIP, output reg CLKOUT_FABRIC);
        
        parameter PWRDN_EN = 0;
        parameter AUTO_PWRDN = 0;
-       parameter PRE_DIV = 1;
+       parameter HARDIP_DIV = 1;
        parameter FABRIC_DIV = 1;
        parameter OSC_FREQ = "25k";
        
-       initial CLKOUT_PREDIV = 0;
+       initial CLKOUT_HARDIP = 0;
        initial CLKOUT_FABRIC = 0;
        
        //output dividers not implemented for simulation
@@ -177,7 +343,7 @@ module GP_RCOSC(input PWRDN, output reg CLKOUT_PREDIV, output reg CLKOUT_FABRIC)
        
        always begin
                if(PWRDN) begin
-                       CLKOUT_PREDIV = 0;
+                       CLKOUT_HARDIP = 0;
                        CLKOUT_FABRIC = 0;
                end
                else begin
@@ -192,21 +358,21 @@ module GP_RCOSC(input PWRDN, output reg CLKOUT_PREDIV, output reg CLKOUT_FABRIC)
                                #250;
                        end
                        
-                       CLKOUT_PREDIV = ~CLKOUT_PREDIV;
+                       CLKOUT_HARDIP = ~CLKOUT_HARDIP;
                        CLKOUT_FABRIC = ~CLKOUT_FABRIC;
                end
        end
        
 endmodule
 
-module GP_RINGOSC(input PWRDN, output reg CLKOUT_PREDIV, output reg CLKOUT_FABRIC);
+module GP_RINGOSC(input PWRDN, output reg CLKOUT_HARDIP, output reg CLKOUT_FABRIC);
        
        parameter PWRDN_EN = 0;
        parameter AUTO_PWRDN = 0;
-       parameter PRE_DIV = 1;
+       parameter HARDIP_DIV = 1;
        parameter FABRIC_DIV = 1;
        
-       initial CLKOUT_PREDIV = 0;
+       initial CLKOUT_HARDIP = 0;
        initial CLKOUT_FABRIC = 0;
        
        //output dividers not implemented for simulation
@@ -214,13 +380,13 @@ module GP_RINGOSC(input PWRDN, output reg CLKOUT_PREDIV, output reg CLKOUT_FABRI
        
        always begin
                if(PWRDN) begin
-                       CLKOUT_PREDIV = 0;
+                       CLKOUT_HARDIP = 0;
                        CLKOUT_FABRIC = 0;
                end
                else begin
                        //half period of 27 MHz
                        #18.518;
-                       CLKOUT_PREDIV = ~CLKOUT_PREDIV;
+                       CLKOUT_HARDIP = ~CLKOUT_HARDIP;
                        CLKOUT_FABRIC = ~CLKOUT_FABRIC;
                end
        end
@@ -229,13 +395,13 @@ endmodule
 
 module GP_SHREG(input nRST, input CLK, input IN, output OUTA, output OUTB);
 
-       parameter OUTA_DELAY = 1;
+       parameter OUTA_TAP = 1;
        parameter OUTA_INVERT = 0;
-       parameter OUTB_DELAY = 1;
+       parameter OUTB_TAP = 1;
        
        reg[15:0] shreg = 0;
        
-       always @(posedge clk, negedge RSTN) begin
+       always @(posedge CLK, negedge nRST) begin
                
                if(!nRST)
                        shreg = 0;
@@ -245,15 +411,16 @@ module GP_SHREG(input nRST, input CLK, input IN, output OUTA, output OUTB);
                
        end
        
-       assign OUTA = (OUTA_INVERT) ? ~shreg[OUTA_DELAY - 1] : shreg[OUTA_DELAY - 1];
-       assign OUTB = shreg[OUTB_DELAY - 1];
+       assign OUTA = (OUTA_INVERT) ? ~shreg[OUTA_TAP - 1] : shreg[OUTA_TAP - 1];
+       assign OUTB = shreg[OUTB_TAP - 1];
 
 endmodule
 
 //keep constraint needed to prevent optimization since we have no outputs
 (* keep *)
 module GP_SYSRESET(input RST);
-       parameter RESET_MODE = "RISING";
+       parameter RESET_MODE = "EDGE";
+       parameter EDGE_SPEED = 4;
        
        //cannot simulate whole system reset
        
@@ -263,6 +430,12 @@ module GP_VDD(output OUT);
        assign OUT = 1;
 endmodule
 
+module GP_VREF(input VIN, output reg VOUT);
+       parameter VIN_DIV = 1;
+       parameter VREF = 0;
+       //cannot simulate mixed signal IP
+endmodule
+
 module GP_VSS(output OUT);
        assign OUT = 0;
 endmodule