Added GP_DFF INIT parameter
[yosys.git] / techlibs / greenpak4 / cells_sim.v
index d9ddaaccf370641fe4417afebcaff66d4ab82429..f8593b9fb60cb83ae188175794686d2fd6893967 100644 (file)
@@ -1,4 +1,6 @@
 module GP_DFF(input D, CLK, nRSTZ, nSETZ, output reg Q);
+       parameter [0:0] INIT = 1'bx;
+       initial Q = INIT;
        always @(posedge CLK, negedge nRSTZ, negedge nSETZ) begin
                if (!nRSTZ)
                        Q <= 1'b0;