Merge pull request #1765 from YosysHQ/claire/btor_info
[yosys.git] / techlibs / ice40 / brams_map.v
index a82161c990984bc1aa445ddac44158489a873be0..ad3bccd21f45c7015ab94101c4d1942a479c36c4 100644 (file)
@@ -7,8 +7,8 @@ module \$__ICE40_RAM4K (
        input  [10:0] WADDR,
        input  [15:0] MASK, WDATA
 );
-       parameter integer READ_MODE = 0;
-       parameter integer WRITE_MODE = 0;
+       parameter [1:0] READ_MODE = 0;
+       parameter [1:0] WRITE_MODE = 0;
        parameter [0:0] NEGCLK_R = 0;
        parameter [0:0] NEGCLK_W = 0;
 
@@ -213,14 +213,14 @@ module \$__ICE40_RAM4K_M0 (CLK2, CLK3, A1ADDR, A1DATA, A1EN, B1ADDR, B1DATA, B1E
                .RDATA(A1DATA),
                .RADDR(A1ADDR_11),
                .RCLK(CLK2),
-               .RCLKE(1'b1),
-               .RE(A1EN),
+               .RCLKE(A1EN),
+               .RE(1'b1),
                .WDATA(B1DATA),
                .WADDR(B1ADDR_11),
                .MASK(~B1EN),
                .WCLK(CLK3),
-               .WCLKE(1'b1),
-               .WE(|B1EN)
+               .WCLKE(|B1EN),
+               .WE(1'b1)
        );
 endmodule
 
@@ -299,13 +299,13 @@ module \$__ICE40_RAM4K_M123 (CLK2, CLK3, A1ADDR, A1DATA, A1EN, B1ADDR, B1DATA, B
                .RDATA(A1DATA_16),
                .RADDR(A1ADDR_11),
                .RCLK(CLK2),
-               .RCLKE(1'b1),
-               .RE(A1EN),
+               .RCLKE(A1EN),
+               .RE(1'b1),
                .WDATA(B1DATA_16),
                .WADDR(B1ADDR_11),
                .WCLK(CLK3),
-               .WCLKE(1'b1),
-               .WE(|B1EN)
+               .WCLKE(|B1EN),
+               .WE(1'b1)
        );
 endmodule