Added "yosys -D" feature
[yosys.git] / techlibs / ice40 / synth_ice40.cc
index 2ed7642ae79e5db7241694016e890f3df3e3d81b..3dbdde3dff94749046bd997577b9f661a9417f82 100644 (file)
@@ -149,7 +149,7 @@ struct SynthIce40Pass : public ScriptPass
                if (!design->full_selection())
                        log_cmd_error("This comannd only operates on fully selected designs!\n");
 
-               log_header("Executing SYNTH_ICE40 pass.\n");
+               log_header(design, "Executing SYNTH_ICE40 pass.\n");
                log_push();
 
                run_script(design, run_from, run_to);