Merge pull request #1765 from YosysHQ/claire/btor_info
[yosys.git] / techlibs / ice40 / synth_ice40.cc
index f9c5a750b1eeaa3adeb25030207b7dfb26e7c190..59ada8bae69b91fe7270126ea8a32fd321909bc5 100644 (file)
@@ -96,6 +96,9 @@ struct SynthIce40Pass : public ScriptPass
                log("    -abc9\n");
                log("        use new ABC9 flow (EXPERIMENTAL)\n");
                log("\n");
+               log("    -flowmap\n");
+               log("        use FlowMap LUT techmapping instead of abc (EXPERIMENTAL)\n");
+               log("\n");
                log("\n");
                log("The following commands are executed by this synthesis command:\n");
                help_script();
@@ -103,7 +106,7 @@ struct SynthIce40Pass : public ScriptPass
        }
 
        string top_opt, blif_file, edif_file, json_file, device_opt;
-       bool nocarry, nodffe, nobram, dsp, flatten, retime, noabc, abc2, vpr, abc9;
+       bool nocarry, nodffe, nobram, dsp, flatten, retime, noabc, abc2, vpr, abc9, flowmap;
        int min_ce_use;
 
        void clear_flags() YS_OVERRIDE
@@ -123,6 +126,7 @@ struct SynthIce40Pass : public ScriptPass
                abc2 = false;
                vpr = false;
                abc9 = false;
+               flowmap = false;
                device_opt = "hx";
        }
 
@@ -214,6 +218,10 @@ struct SynthIce40Pass : public ScriptPass
                                device_opt = args[++argidx];
                                continue;
                        }
+            if (args[argidx] == "-flowmap") {
+                flowmap = true;
+                continue;
+            }
                        break;
                }
                extra_args(args, argidx, design);
@@ -226,6 +234,13 @@ struct SynthIce40Pass : public ScriptPass
                if (abc9 && retime)
                        log_cmd_error("-retime option not currently compatible with -abc9!\n");
 
+        if (abc9 && noabc)
+            log_cmd_error("-abc9 is incompatible with -noabc!\n");
+        if (abc9 && flowmap)
+            log_cmd_error("-abc9 is incompatible with -flowmap!\n");
+        if (flowmap && noabc)
+            log_cmd_error("-flowmap is incompatible with -noabc!\n");
+
                log_header(design, "Executing SYNTH_ICE40 pass.\n");
                log_push();
 
@@ -330,6 +345,7 @@ struct SynthIce40Pass : public ScriptPass
                        if (min_ce_use >= 0) {
                                run("opt_merge");
                                run(stringf("dff2dffe -unmap-mince %d", min_ce_use));
+                               run("simplemap t:$dff");
                        }
                        run("techmap -D NO_LUT -D NO_ADDER -map +/ice40/cells_map.v");
                        run("opt_expr -mux_undef");
@@ -346,9 +362,12 @@ struct SynthIce40Pass : public ScriptPass
                                run("ice40_opt", "(only if -abc2)");
                        }
                        run("techmap -map +/ice40/latches_map.v");
-                       if (noabc || help_mode) {
-                               run("simplemap", "                               (only if -noabc)");
-                               run("techmap -map +/gate2lut.v -D LUT_WIDTH=4", "(only if -noabc)");
+                       if (noabc || flowmap || help_mode) {
+                               run("simplemap", "                               (if -noabc or -flowmap)");
+                if (noabc || help_mode)
+                                   run("techmap -map +/gate2lut.v -D LUT_WIDTH=4", "(only if -noabc)");
+                if (flowmap || help_mode)
+                    run("flowmap -maxlut 4", "(only if -flowmap)");
                        }
                        if (!noabc) {
                                if (abc9) {