Get rid of dffsr2dff.
[yosys.git] / techlibs / ice40 / synth_ice40.cc
index 463e80ee2ccf4b9f58c332eda91ce7a1397257c6..9724b7dd57ffe56f28c0d0ebf45a2ac23f6bc9eb 100644 (file)
@@ -341,7 +341,6 @@ struct SynthIce40Pass : public ScriptPass
 
                if (check_label("map_ffs"))
                {
-                       run("dffsr2dff");
                        if (!nodffe)
                                run("dff2dffe -direct-match $_DFF_*");
                        if (min_ce_use >= 0) {