Run "peepopt" in generic "synth" pass and "synth_ice40"
[yosys.git] / techlibs / ice40 / synth_ice40.cc
index 2533d3af84f3dfe2763fe668f8d999b2b29a5c3e..f5249e567980e9136b26341217850aeae299cb34 100644 (file)
@@ -29,7 +29,7 @@ struct SynthIce40Pass : public ScriptPass
 {
        SynthIce40Pass() : ScriptPass("synth_ice40", "synthesis for iCE40 FPGAs") { }
 
-       virtual void help() YS_OVERRIDE
+       void help() YS_OVERRIDE
        {
                //   |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
                log("\n");
@@ -38,14 +38,18 @@ struct SynthIce40Pass : public ScriptPass
                log("This command runs synthesis for iCE40 FPGAs.\n");
                log("\n");
                log("    -top <module>\n");
-               log("        use the specified module as top module (default='top')\n");
+               log("        use the specified module as top module\n");
                log("\n");
                log("    -blif <file>\n");
                log("        write the design to the specified BLIF file. writing of an output file\n");
                log("        is omitted if this parameter is not specified.\n");
                log("\n");
                log("    -edif <file>\n");
-               log("        write the design to the specified edif file. writing of an output file\n");
+               log("        write the design to the specified EDIF file. writing of an output file\n");
+               log("        is omitted if this parameter is not specified.\n");
+               log("\n");
+               log("    -json <file>\n");
+               log("        write the design to the specified JSON file. writing of an output file\n");
                log("        is omitted if this parameter is not specified.\n");
                log("\n");
                log("    -run <from_label>:<to_label>\n");
@@ -59,37 +63,65 @@ struct SynthIce40Pass : public ScriptPass
                log("    -retime\n");
                log("        run 'abc' with -dff option\n");
                log("\n");
+               log("    -relut\n");
+               log("        combine LUTs after synthesis\n");
+               log("\n");
                log("    -nocarry\n");
                log("        do not use SB_CARRY cells in output netlist\n");
                log("\n");
+               log("    -nodffe\n");
+               log("        do not use SB_DFFE* cells in output netlist\n");
+               log("\n");
+               log("    -dffe_min_ce_use <min_ce_use>\n");
+               log("        do not use SB_DFFE* cells if the resulting CE line would go to less\n");
+               log("        than min_ce_use SB_DFFE*in output netlist\n");
+               log("\n");
                log("    -nobram\n");
                log("        do not use SB_RAM40_4K* cells in output netlist\n");
                log("\n");
+               log("    -dsp\n");
+               log("        use iCE40 UltraPlus DSP cells for large arithmetic\n");
+               log("\n");
+               log("    -noabc\n");
+               log("        use built-in Yosys LUT techmapping instead of abc\n");
+               log("\n");
                log("    -abc2\n");
                log("        run two passes of 'abc' for slightly improved logic density\n");
                log("\n");
+               log("    -vpr\n");
+               log("        generate an output netlist (and BLIF file) suitable for VPR\n");
+               log("        (this feature is experimental and incomplete)\n");
+               log("\n");
                log("\n");
                log("The following commands are executed by this synthesis command:\n");
                help_script();
                log("\n");
        }
 
-       string top_opt, blif_file, edif_file;
-       bool nocarry, nobram, flatten, retime, abc2;
+       string top_opt, blif_file, edif_file, json_file;
+       bool nocarry, nodffe, nobram, dsp, flatten, retime, relut, noabc, abc2, vpr;
+       int min_ce_use;
 
-       virtual void clear_flags() YS_OVERRIDE
+       void clear_flags() YS_OVERRIDE
        {
                top_opt = "-auto-top";
                blif_file = "";
                edif_file = "";
+               json_file = "";
                nocarry = false;
+               nodffe = false;
+               min_ce_use = -1;
                nobram = false;
+               dsp = false;
                flatten = true;
                retime = false;
+               relut = false;
+               noabc = false;
                abc2 = false;
+               vpr = false;
        }
 
-       virtual void execute(std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
+       void execute(std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
        {
                string run_from, run_to;
                clear_flags();
@@ -109,6 +141,10 @@ struct SynthIce40Pass : public ScriptPass
                                edif_file = args[++argidx];
                                continue;
                        }
+                       if (args[argidx] == "-json" && argidx+1 < args.size()) {
+                               json_file = args[++argidx];
+                               continue;
+                       }
                        if (args[argidx] == "-run" && argidx+1 < args.size()) {
                                size_t pos = args[argidx+1].find(':');
                                if (pos == std::string::npos)
@@ -129,24 +165,48 @@ struct SynthIce40Pass : public ScriptPass
                                retime = true;
                                continue;
                        }
+                       if (args[argidx] == "-relut") {
+                               relut = true;
+                               continue;
+                       }
                        if (args[argidx] == "-nocarry") {
                                nocarry = true;
                                continue;
                        }
+                       if (args[argidx] == "-nodffe") {
+                               nodffe = true;
+                               continue;
+                       }
+                       if (args[argidx] == "-dffe_min_ce_use" && argidx+1 < args.size()) {
+                               min_ce_use = std::stoi(args[++argidx]);
+                               continue;
+                       }
                        if (args[argidx] == "-nobram") {
                                nobram = true;
                                continue;
                        }
+                       if (args[argidx] == "-dsp") {
+                               dsp = true;
+                               continue;
+                       }
+                       if (args[argidx] == "-noabc") {
+                               noabc = true;
+                               continue;
+                       }
                        if (args[argidx] == "-abc2") {
                                abc2 = true;
                                continue;
                        }
+                       if (args[argidx] == "-vpr") {
+                               vpr = true;
+                               continue;
+                       }
                        break;
                }
                extra_args(args, argidx, design);
 
                if (!design->full_selection())
-                       log_cmd_error("This comannd only operates on fully selected designs!\n");
+                       log_cmd_error("This command only operates on fully selected designs!\n");
 
                log_header(design, "Executing SYNTH_ICE40 pass.\n");
                log_push();
@@ -156,17 +216,17 @@ struct SynthIce40Pass : public ScriptPass
                log_pop();
        }
 
-       virtual void script() YS_OVERRIDE
+       void script() YS_OVERRIDE
        {
                if (check_label("begin"))
                {
                        run("read_verilog -lib +/ice40/cells_sim.v");
                        run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str()));
+                       run("proc");
                }
 
                if (flatten && check_label("flatten", "(unless -noflatten)"))
                {
-                       run("proc");
                        run("flatten");
                        run("tribuf -logic");
                        run("deminout");
@@ -174,20 +234,43 @@ struct SynthIce40Pass : public ScriptPass
 
                if (check_label("coarse"))
                {
-                       run("synth -run coarse");
+                       run("opt_expr");
+                       run("opt_clean");
+                       run("check");
+                       run("opt");
+                       run("wreduce");
+                       run("peepopt");
+                       run("opt_clean");
+                       run("share");
+                       run("techmap -map +/cmp2lut.v -D LUT_WIDTH=4");
+                       run("opt_expr");
+                       run("opt_clean");
+                       if (help_mode || dsp)
+                               run("ice40_dsp", "(if -dsp)");
+                       run("alumacc");
+                       run("opt");
+                       run("fsm");
+                       run("opt -fast");
+                       run("memory -nomap");
+                       run("opt_clean");
                }
 
                if (!nobram && check_label("bram", "(skip if -nobram)"))
                {
                        run("memory_bram -rules +/ice40/brams.txt");
                        run("techmap -map +/ice40/brams_map.v");
+                       run("ice40_braminit");
                }
 
-               if (check_label("fine"))
+               if (check_label("map"))
                {
                        run("opt -fast -mux_undef -undriven -fine");
                        run("memory_map");
                        run("opt -undriven -fine");
+               }
+
+               if (check_label("map_gates"))
+               {
                        if (nocarry)
                                run("techmap");
                        else
@@ -200,8 +283,13 @@ struct SynthIce40Pass : public ScriptPass
                if (check_label("map_ffs"))
                {
                        run("dffsr2dff");
-                       run("dff2dffe -direct-match $_DFF_*");
-                       run("techmap -map +/ice40/cells_map.v");
+                       if (!nodffe)
+                               run("dff2dffe -direct-match $_DFF_*");
+                       if (min_ce_use >= 0) {
+                               run("opt_merge");
+                               run(stringf("dff2dffe -unmap-mince %d", min_ce_use));
+                       }
+                       run("techmap -D NO_LUT -map +/ice40/cells_map.v");
                        run("opt_expr -mux_undef");
                        run("simplemap");
                        run("ice40_ffinit");
@@ -216,13 +304,27 @@ struct SynthIce40Pass : public ScriptPass
                                run("ice40_opt", "(only if -abc2)");
                        }
                        run("techmap -map +/ice40/latches_map.v");
-                       run("abc -lut 4");
+                       if (noabc || help_mode) {
+                               run("simplemap", "                               (only if -noabc)");
+                               run("techmap -map +/gate2lut.v -D LUT_WIDTH=4", "(only if -noabc)");
+                       }
+                       if (!noabc) {
+                               run("abc -dress -lut 4", "(skip if -noabc)");
+                       }
                        run("clean");
+                       if (relut || help_mode) {
+                               run("ice40_unlut", "                            (only if -relut)");
+                               run("opt_lut -dlogic SB_CARRY:I0=1:I1=2:CI=3", "(only if -relut)");
+                       }
                }
 
                if (check_label("map_cells"))
                {
-                       run("techmap -map +/ice40/cells_map.v");
+                       if (vpr)
+                               run("techmap -D NO_LUT -map +/ice40/cells_map.v");
+                       else
+                               run("techmap -map +/ice40/cells_map.v", "(with -D NO_LUT in vpr mode)");
+
                        run("clean");
                }
 
@@ -235,8 +337,19 @@ struct SynthIce40Pass : public ScriptPass
 
                if (check_label("blif"))
                {
-                       if (!blif_file.empty() || help_mode)
-                               run(stringf("write_blif -gates -attr -param %s", help_mode ? "<file-name>" : blif_file.c_str()));
+                       if (!blif_file.empty() || help_mode) {
+                               if (vpr || help_mode) {
+                                       run(stringf("opt_clean -purge"),
+                                                       "                                 (vpr mode)");
+                                       run(stringf("write_blif -attr -cname -conn -param %s",
+                                                       help_mode ? "<file-name>" : blif_file.c_str()),
+                                                       " (vpr mode)");
+                               }
+                               if (!vpr)
+                                       run(stringf("write_blif -gates -attr -param %s",
+                                                       help_mode ? "<file-name>" : blif_file.c_str()),
+                                                       "       (non-vpr mode)");
+                       }
                }
 
                if (check_label("edif"))
@@ -244,6 +357,12 @@ struct SynthIce40Pass : public ScriptPass
                        if (!edif_file.empty() || help_mode)
                                run(stringf("write_edif %s", help_mode ? "<file-name>" : edif_file.c_str()));
                }
+
+               if (check_label("json"))
+               {
+                       if (!json_file.empty() || help_mode)
+                               run(stringf("write_json %s", help_mode ? "<file-name>" : json_file.c_str()));
+               }
        }
 } SynthIce40Pass;