Add support for synth_xilinx -abc9 and ignore abc9 -dress opt
[yosys.git] / techlibs / xilinx / synth_xilinx.cc
index fbcc96014df4f8099beea3bf5a9e568d561ce2c6..090bcce857c45f5a1bc791de91e1c47146d26b96 100644 (file)
@@ -34,9 +34,11 @@ bool check_label(bool &active, std::string run_from, std::string run_to, std::st
        return active;
 }
 
-struct SynthXilinxPass : public Pass {
+struct SynthXilinxPass : public Pass
+{
        SynthXilinxPass() : Pass("synth_xilinx", "synthesis for Xilinx FPGAs") { }
-       virtual void help()
+
+       void help() YS_OVERRIDE
        {
                //   |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
                log("\n");
@@ -53,6 +55,20 @@ struct SynthXilinxPass : public Pass {
                log("        write the design to the specified edif file. writing of an output file\n");
                log("        is omitted if this parameter is not specified.\n");
                log("\n");
+               log("    -blif <file>\n");
+               log("        write the design to the specified BLIF file. writing of an output file\n");
+               log("        is omitted if this parameter is not specified.\n");
+               log("\n");
+               log("    -vpr\n");
+               log("        generate an output netlist (and BLIF file) suitable for VPR\n");
+               log("        (this feature is experimental and incomplete)\n");
+               log("\n");
+               log("    -nobram\n");
+               log("        disable infering of block rams\n");
+               log("\n");
+               log("    -nodram\n");
+               log("        disable infering of distributed rams\n");
+               log("\n");
                log("    -run <from_label>:<to_label>\n");
                log("        only run the commands between the labels (see below). an empty\n");
                log("        from label is synonymous to 'begin', and empty to label is\n");
@@ -64,13 +80,16 @@ struct SynthXilinxPass : public Pass {
                log("    -retime\n");
                log("        run 'abc' with -dff option\n");
                log("\n");
+               log("    -abc9\n");
+               log("        use abc9 instead of abc\n");
+               log("\n");
                log("\n");
                log("The following commands are executed by this synthesis command:\n");
                log("\n");
                log("    begin:\n");
                log("        read_verilog -lib +/xilinx/cells_sim.v\n");
+               log("        read_verilog -lib +/xilinx/cells_xtra.v\n");
                log("        read_verilog -lib +/xilinx/brams_bb.v\n");
-               log("        read_verilog -lib +/xilinx/drams_bb.v\n");
                log("        hierarchy -check -top <top>\n");
                log("\n");
                log("    flatten:     (only if -flatten)\n");
@@ -80,29 +99,32 @@ struct SynthXilinxPass : public Pass {
                log("    coarse:\n");
                log("        synth -run coarse\n");
                log("\n");
-               log("    bram:\n");
+               log("    bram: (only executed when '-nobram' is not given)\n");
                log("        memory_bram -rules +/xilinx/brams.txt\n");
                log("        techmap -map +/xilinx/brams_map.v\n");
                log("\n");
-               log("    dram:\n");
+               log("    dram: (only executed when '-nodram' is not given)\n");
                log("        memory_bram -rules +/xilinx/drams.txt\n");
                log("        techmap -map +/xilinx/drams_map.v\n");
                log("\n");
                log("    fine:\n");
                log("        opt -fast -full\n");
                log("        memory_map\n");
+               log("        dffsr2dff\n");
                log("        dff2dffe\n");
                log("        opt -full\n");
-               log("        techmap -map +/techmap.v -map +/xilinx/arith_map.v\n");
+               log("        techmap -map +/techmap.v -map +/xilinx/arith_map.v -map +/xilinx/ff_map.v\n");
                log("        opt -fast\n");
                log("\n");
                log("    map_luts:\n");
-               log("        abc -lut 5:8 [-dff]\n");
+               log("        abc -luts 2:2,3,6:5,10,20 [-dff] (without '-vpr' only!)\n");
+               log("        abc -lut 5 [-dff] (with '-vpr' only!)\n");
                log("        clean\n");
                log("\n");
                log("    map_cells:\n");
                log("        techmap -map +/xilinx/cells_map.v\n");
-               log("        dffinit -ff FDRE Q INIT -ff FDCE Q INIT -ff FDPE Q INIT\n");
+               log("        dffinit -ff FDRE   Q INIT -ff FDCE   Q INIT -ff FDPE   Q INIT -ff FDSE   Q INIT \\\n");
+               log("                -ff FDRE_1 Q INIT -ff FDCE_1 Q INIT -ff FDPE_1 Q INIT -ff FDSE_1 Q INIT\n");
                log("        clean\n");
                log("\n");
                log("    check:\n");
@@ -113,14 +135,22 @@ struct SynthXilinxPass : public Pass {
                log("    edif:     (only if -edif)\n");
                log("        write_edif <file-name>\n");
                log("\n");
+               log("    blif:     (only if -blif)\n");
+               log("        write_blif <file-name>\n");
+               log("\n");
        }
-       virtual void execute(std::vector<std::string> args, RTLIL::Design *design)
+       void execute(std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
        {
                std::string top_opt = "-auto-top";
                std::string edif_file;
+               std::string blif_file;
                std::string run_from, run_to;
+               std::string abc = "abc";
                bool flatten = false;
                bool retime = false;
+               bool vpr = false;
+               bool nobram = false;
+               bool nodram = false;
 
                size_t argidx;
                for (argidx = 1; argidx < args.size(); argidx++)
@@ -133,6 +163,10 @@ struct SynthXilinxPass : public Pass {
                                edif_file = args[++argidx];
                                continue;
                        }
+                       if (args[argidx] == "-blif" && argidx+1 < args.size()) {
+                               blif_file = args[++argidx];
+                               continue;
+                       }
                        if (args[argidx] == "-run" && argidx+1 < args.size()) {
                                size_t pos = args[argidx+1].find(':');
                                if (pos == std::string::npos)
@@ -149,23 +183,48 @@ struct SynthXilinxPass : public Pass {
                                retime = true;
                                continue;
                        }
+                       if (args[argidx] == "-vpr") {
+                               vpr = true;
+                               continue;
+                       }
+                       if (args[argidx] == "-nobram") {
+                               nobram = true;
+                               continue;
+                       }
+                       if (args[argidx] == "-nodram") {
+                               nodram = true;
+                               continue;
+                       }
+                       if (args[argidx] == "-abc9") {
+                               abc = "abc9";
+                               continue;
+                       }
                        break;
                }
                extra_args(args, argidx, design);
 
                if (!design->full_selection())
-                       log_cmd_error("This comannd only operates on fully selected designs!\n");
+                       log_cmd_error("This command only operates on fully selected designs!\n");
 
                bool active = run_from.empty();
 
-               log_header("Executing SYNTH_XILINX pass.\n");
+               log_header(design, "Executing SYNTH_XILINX pass.\n");
                log_push();
 
                if (check_label(active, run_from, run_to, "begin"))
                {
-                       Pass::call(design, "read_verilog -lib +/xilinx/cells_sim.v");
-                       Pass::call(design, "read_verilog -lib +/xilinx/brams_bb.v");
-                       Pass::call(design, "read_verilog -lib +/xilinx/drams_bb.v");
+                       if (vpr) {
+                               Pass::call(design, "read_verilog -lib -D_EXPLICIT_CARRY +/xilinx/cells_sim.v");
+                       } else {
+                               Pass::call(design, "read_verilog -lib +/xilinx/cells_sim.v");
+                       }
+
+                       Pass::call(design, "read_verilog -lib +/xilinx/cells_xtra.v");
+
+                       if (!nobram) {
+                               Pass::call(design, "read_verilog -lib +/xilinx/brams_bb.v");
+                       }
+
                        Pass::call(design, stringf("hierarchy -check %s", top_opt.c_str()));
                }
 
@@ -182,36 +241,50 @@ struct SynthXilinxPass : public Pass {
 
                if (check_label(active, run_from, run_to, "bram"))
                {
-                       Pass::call(design, "memory_bram -rules +/xilinx/brams.txt");
-                       Pass::call(design, "techmap -map +/xilinx/brams_map.v");
+                       if (!nobram) {
+                               Pass::call(design, "memory_bram -rules +/xilinx/brams.txt");
+                               Pass::call(design, "techmap -map +/xilinx/brams_map.v");
+                       }
                }
 
                if (check_label(active, run_from, run_to, "dram"))
                {
-                       Pass::call(design, "memory_bram -rules +/xilinx/drams.txt");
-                       Pass::call(design, "techmap -map +/xilinx/drams_map.v");
+                       if (!nodram) {
+                               Pass::call(design, "memory_bram -rules +/xilinx/drams.txt");
+                               Pass::call(design, "techmap -map +/xilinx/drams_map.v");
+                       }
                }
 
                if (check_label(active, run_from, run_to, "fine"))
                {
                        Pass::call(design, "opt -fast -full");
                        Pass::call(design, "memory_map");
+                       Pass::call(design, "dffsr2dff");
                        Pass::call(design, "dff2dffe");
                        Pass::call(design, "opt -full");
-                       Pass::call(design, "techmap -map +/techmap.v -map +/xilinx/arith_map.v");
+
+                       if (vpr) {
+                               Pass::call(design, "techmap -map +/techmap.v -map +/xilinx/arith_map.v -map +/xilinx/ff_map.v -D _EXPLICIT_CARRY");
+                       } else {
+                               Pass::call(design, "techmap -map +/techmap.v -map +/xilinx/arith_map.v -map +/xilinx/ff_map.v");
+                       }
+
+                       Pass::call(design, "hierarchy -check");
                        Pass::call(design, "opt -fast");
                }
 
                if (check_label(active, run_from, run_to, "map_luts"))
                {
-                       Pass::call(design, "abc -lut 6:8" + string(retime ? " -dff" : ""));
+                       Pass::call(design, abc + " -luts 2:2,3,6:5,10,20" + string(retime ? " -dff" : ""));
                        Pass::call(design, "clean");
+                       Pass::call(design, "techmap -map +/xilinx/lut_map.v");
                }
 
                if (check_label(active, run_from, run_to, "map_cells"))
                {
                        Pass::call(design, "techmap -map +/xilinx/cells_map.v");
-                       Pass::call(design, "dffinit -ff FDRE Q INIT -ff FDCE Q INIT -ff FDPE Q INIT");
+                       Pass::call(design, "dffinit -ff FDRE Q INIT -ff FDCE Q INIT -ff FDPE Q INIT -ff FDSE Q INIT "
+                                       "-ff FDRE_1 Q INIT -ff FDCE_1 Q INIT -ff FDPE_1 Q INIT -ff FDSE_1 Q INIT");
                        Pass::call(design, "clean");
                }
 
@@ -225,7 +298,12 @@ struct SynthXilinxPass : public Pass {
                if (check_label(active, run_from, run_to, "edif"))
                {
                        if (!edif_file.empty())
-                               Pass::call(design, stringf("write_edif %s", edif_file.c_str()));
+                               Pass::call(design, stringf("write_edif -pvector bra %s", edif_file.c_str()));
+               }
+               if (check_label(active, run_from, run_to, "blif"))
+               {
+                       if (!blif_file.empty())
+                               Pass::call(design, stringf("write_blif %s", edif_file.c_str()));
                }
 
                log_pop();