Added tests/memfile to 'make test' with an extra testcase
[yosys.git] / tests / memfile / run-test.sh
index 3a88b81dea46da317bf0dc912165adb09e3e8f53..2bbc162e715e8db7c4b9bfad9198e9bc693bc004 100755 (executable)
@@ -1,9 +1,6 @@
 #!/bin/bash
 
-echo "* Creating Memory Content Files"
-
-for i in {1..64}
-do
+for i in {1..64}; do
        echo "00001111000000001111111100000000" >> tempfile1.dat
 done
 
@@ -12,28 +9,25 @@ cp tempfile1.dat temp/tempfile2.dat
 
 cd ..
 
-echo "* Running from the parent directory"
-echo "  * Memory Content File: tempfile1.dat"
+echo "Running from the parent directory with tempfile1.dat"
 ../yosys -qp "read_verilog -defer memfile/memory.v; chparam -set MEMFILE \"tempfile1.dat\" memory; synth -top memory"
-echo "  * Memory Content File: temp/tempfile2.dat"
+echo "Running from the parent directory with temp/tempfile2.dat"
 ../yosys -qp "read_verilog -defer memfile/memory.v; chparam -set MEMFILE \"temp/tempfile2.dat\" memory; synth -top memory"
+echo "Running from the parent directory with memfile/temp/tempfile2.dat"
+../yosys -qp "read_verilog -defer memfile/memory.v; chparam -set MEMFILE \"memfile/temp/tempfile2.dat\" memory; synth -top memory"
 
 cd memfile
 
-echo "* Running from the same directory"
-echo "  * Memory Content File: tempfile1.dat"
+echo "Running from the same directory with tempfile1.dat"
 ../../yosys -qp "read_verilog -defer memory.v; chparam -set MEMFILE \"tempfile1.dat\" memory; synth -top memory"
-echo "  * Memory Content File: temp/tempfile2.dat"
+echo "Running from the same directory with temp/tempfile2.dat"
 ../../yosys -qp "read_verilog -defer memory.v; chparam -set MEMFILE \"temp/tempfile2.dat\" memory; synth -top memory"
 
 cd temp
 
-echo "* Running from a child directory"
-echo "  * Memory Content File: tempfile1.dat"
+echo "Running from a child directory with tempfile1.dat"
 ../../../yosys -qp "read_verilog -defer ../memory.v; chparam -set MEMFILE \"tempfile1.dat\" memory; synth -top memory"
-echo "  * Memory Content File: temp/tempfile2.dat"
+echo "Running from a child directory with temp/tempfile2.dat"
 ../../../yosys -qp "read_verilog -defer ../memory.v; chparam -set MEMFILE \"temp/tempfile2.dat\" memory; synth -top memory"
-echo "  * Memory Content File: tempfile2.dat"
+echo "Running from a child directory with tempfile2.dat"
 ../../../yosys -qp "read_verilog -defer ../memory.v; chparam -set MEMFILE \"temp/tempfile2.dat\" memory; synth -top memory"
-
-echo "* Done"