Behavior should be identical now to rev. 0b4a64ac6adbd6 (next: testing before constfo...
[yosys.git] / tests / simple / vloghammer.v
index c705bfa7f6f8b60662988e3bbef16658d654993c..d1f55fdb4019b5c470237005308cd51167add716 100644 (file)
@@ -73,10 +73,10 @@ module test10(a, b, c, y);
   assign y = ^(a ? b : c);
 endmodule
 
-module test11(a, b, y);
-  input signed [3:0] a;
-  input signed [3:0] b;
-  output signed [5:0] y;
-  assign y = -(5'd27);
-endmodule
+// module test11(a, b, y);
+//   input signed [3:0] a;
+//   input signed [3:0] b;
+//   output signed [5:0] y;
+//   assign y = -(5'd27);
+// endmodule