Rename to #23
[yosys.git] / tests / simple_abc9 / abc9.v
index 7af2ace0118a59bcb85321124adb73619db56f71..2752ff8ccce9fd487f8e76d825f913a00917f292 100644 (file)
@@ -237,10 +237,10 @@ module abc9_test022
 endmodule
 
 // Citation: https://github.com/riscv/riscv-bitmanip
-// TODO: yosys -p "synth_xilinx -abc9 -top abc9_test024" abc9.v -q
+// TODO: yosys -p "synth_xilinx -abc9 -top abc9_test023" abc9.v -q
 // returns before 14233843
-//   Warning: Wire abc9_test024.\dout [1] is used but has no driver.
-module abc9_test024 #(
+//   Warning: Wire abc9_test023.\dout [1] is used but has no driver.
+module abc9_test023 #(
        parameter integer N = 2,
        parameter integer M = 2
 ) (