Merge pull request #1124 from mmicko/json_ports
[yosys.git] / tests / sva / basic00.sv
index 387f3deef6ca6b80bcadeaa0859e7e73c110eac9..30c37f5f1af5333894eb9468a1c729ab42529ac3 100644 (file)
@@ -2,6 +2,11 @@ module top (input clk, reset, antecedent, output reg consequent);
        always @(posedge clk)
                consequent <= reset ? 0 : antecedent;
 
+`ifdef FAIL
        test_assert: assert property ( @(posedge clk) disable iff (reset) antecedent |-> consequent )
                        else $error("Failed with consequent = ", $sampled(consequent));
+`else
+       test_assert: assert property ( @(posedge clk) disable iff (reset) antecedent |=> consequent )
+                       else $error("Failed with consequent = ", $sampled(consequent));
+`endif
 endmodule