X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;ds=sidebyside;f=sandbox.mdwn;h=4e8bb3cc781e5112a61b0355ef34f736354bd890;hb=c4fc037dc1d51ae0858d732b57b292d9ff25d065;hp=0a6c00b22680800114975c8f9f412c584809217d;hpb=5d4854c8ea3e8ab84dbe984f5226065e3666d218;p=libreriscv.git diff --git a/sandbox.mdwn b/sandbox.mdwn index 0a6c00b22..4e8bb3cc7 100644 --- a/sandbox.mdwn +++ b/sandbox.mdwn @@ -45,17 +45,19 @@ f.write(verilog.convert(top, name='top',strip_internal_attrs=True,ports=ports)) heading * hello - - test indentation - test again +* bullet2 +``` + test indentation + test again +``` heading again -* bullet now two blank lines after +1. bullet now two blank lines after - indent after 2 blank lines - more indent + indent after 2 blank lines + more indent Here's a paragraph.