X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=.gitlab-ci.yml;h=c3ca516fc2695aced2e4d186b000f0a2694f399e;hb=c35d59d0e8ae088f445fc64ade00885d9731ba71;hp=d39c2adae9317be6abdb32bc25f35a33414395e1;hpb=1117d7c3cd52cc73a757f3c6f62c6602551d7ad3;p=soc.git diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index d39c2ada..c3ca516f 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -3,22 +3,36 @@ image: debian:10 cache: paths: - ccache + - .cache/pip + - apt-cache + when: 'always' + +variables: + PIP_CACHE_DIR: "$CI_PROJECT_DIR/.cache/pip" + GIT_SUBMODULE_STRATEGY: recursive + GIT_DEPTH: 500 build: stage: build + timeout: 2h before_script: - - apt-get update + - mkdir -p apt-cache + - apt-get -o dir::cache::archives="$(pwd)/apt-cache" update - >- - apt-get -y install + apt-get -o dir::cache::archives="$(pwd)/apt-cache" -y install build-essential git python3-dev python3-pip python3-setuptools python3-wheel pkg-config tcl-dev libreadline-dev bison flex libffi-dev ccache python3-venv + binutils-powerpc64-linux-gnu binutils-powerpc64le-linux-gnu + autoconf gperf libgmp-dev libmpfr-dev libssl-dev curl - export PATH="/usr/lib/ccache:$PATH" - export CCACHE_BASEDIR="$PWD" - export CCACHE_DIR="$PWD/ccache" - export CCACHE_COMPILERCHECK=content - ccache --zero-stats || true - ccache --show-stats || true + - curl --proto '=https' --tlsv1.2 -sSf https://sh.rustup.rs | sh -s -- -y + - source $HOME/.cargo/env after_script: - export CCACHE_DIR="$PWD/ccache" - ccache --show-stats @@ -27,29 +41,61 @@ build: - . .env/bin/activate - pip install nose + - git clone --depth 1 https://github.com/SRI-CSL/yices2.git yices2 + - pushd yices2 + - autoconf + - ./configure + - make -j$(nproc) > /dev/null + - make install + - popd + - git clone --depth 1 https://github.com/YosysHQ/yosys.git yosys - pushd yosys - make config-gcc - - make -j$(nproc) + - make -j$(nproc) > /dev/null - make install - popd - yosys -V + - git clone --depth 1 https://github.com/YosysHQ/SymbiYosys.git SymbiYosys + - pushd SymbiYosys + - make install > /dev/null + - popd + - git clone --depth 1 https://github.com/nmigen/nmigen.git nmigen - pushd nmigen - python setup.py develop - popd - - git clone --depth 1 git://git.libre-riscv.org/nmutil.git nmutil + - git clone --depth 1 https://git.libre-soc.org/git/nmutil.git nmutil - pushd nmutil - python setup.py develop - popd - - git clone --depth 1 git://git.libre-riscv.org/ieee754fpu.git ieee754fpu + - git clone --depth 1 https://git.libre-soc.org/git/nmigen-soc.git nmigen-soc + - pushd nmigen-soc + - git tag | xargs git tag -d + - python setup.py develop + - popd + + - git clone --depth 1 https://git.libre-soc.org/git/ieee754fpu.git ieee754fpu - pushd ieee754fpu - python setup.py develop - popd + - git clone --depth 1 https://git.libre-soc.org/git/openpower-isa.git openpower-isa + - pushd openpower-isa + - python3 setup.py develop + - make -j$(nproc) svanalysis > /dev/null + - make -j$(nproc) pyfnwriter > /dev/null 2>&1 + - make -j$(nproc) pywriter > /dev/null 2>&1 + - popd + + - git clone --depth 1 https://git.libre-soc.org/git/c4m-jtag.git c4m-jtag + - pushd c4m-jtag + - python setup.py develop + - popd + - IEEE754FPU_PATH="$(pwd)"/ieee754fpu - git clone --depth 1 --recursive https://github.com/billzorn/sfpy.git sfpy - pushd sfpy @@ -67,5 +113,12 @@ build: - pip install dist/sfpy*.whl - popd + - python3 -m pip install 'maturin>=0.11,<0.12' + - git clone --depth 1 https://git.libre-soc.org/git/power-instruction-analyzer.git pia + - pushd pia + - maturin build --cargo-extra-args=--features=python-extension + - python3 -m pip install target/wheels/*.whl + - popd + - python setup.py develop - - nosetests -v --processes=-1 + - nosetests -v --processes=-1 --process-timeout=120 -w src/