X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=CHANGELOG;h=069efd6f5586dbad5aaa27cea91864c244877668;hb=a4522d628296ccaea5e26b6cec1756f01475ceb5;hp=ff22a01e24146fd6206509b312a207ed8e4979cb;hpb=891eec2882e2f80431e6a180fe42b43c1b25aab3;p=yosys.git diff --git a/CHANGELOG b/CHANGELOG index ff22a01e2..069efd6f5 100644 --- a/CHANGELOG +++ b/CHANGELOG @@ -2,9 +2,21 @@ List of major changes and improvements between releases ======================================================= -Yosys 0.13 .. Yosys 0.13-dev +Yosys 0.13 .. Yosys 0.14 -------------------------- + * Various + - Added $bmux and $demux cells and related optimization patterns. + + * New commands and options + - Added "bmuxmap" and "dmuxmap" passes + - Added "-fst" option to "sim" pass for writing FST files + - Added "-r", "-scope", "-start", "-stop", "-at", "-sim", "-sim-gate", + "-sim-gold" options to "sim" pass for co-simulation + + * Anlogic support + - Added support for BRAMs + Yosys 0.12 .. Yosys 0.13 --------------------------