X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=gram%2Fphy%2Fecp5ddrphy.py;h=007c143cba8c2c7ba3209246e549ad08d44ade77;hb=6b7e293c39dcb17f9f435e6c1c27f4ee0b1f589f;hp=32a679244b4dd639001f4805503b82993d2fb03d;hpb=689c8712c2581efde31e9f359c0741a4bcf2d92b;p=gram.git diff --git a/gram/phy/ecp5ddrphy.py b/gram/phy/ecp5ddrphy.py index 32a6792..007c143 100644 --- a/gram/phy/ecp5ddrphy.py +++ b/gram/phy/ecp5ddrphy.py @@ -101,10 +101,28 @@ class _DQSBUFMSettingManager(Elaboratable): with m.State("Idle"): with m.If(self.rdly_csr.w_stb): m.d.sync += self.pause.eq(1) - m.next = "RdlyUpdateRequested" + m.next = "RdlyUpdateRequestedDelay1" + + with m.State("RdlyUpdateRequestedDelay1"): + m.next = "RdlyUpdateRequestedDelay2" + + with m.State("RdlyUpdateRequestedDelay2"): + m.next = "RdlyUpdateRequestedDelay3" + + with m.State("RdlyUpdateRequestedDelay3"): + m.next = "RdlyUpdateRequested" with m.State("RdlyUpdateRequested"): m.d.sync += self.readclksel.eq(self.rdly_csr.w_data) + m.next = "ResetPauseDelay1" + + with m.State("ResetPauseDelay1"): + m.next = "ResetPauseDelay2" + + with m.State("ResetPauseDelay2"): + m.next = "ResetPauseDelay3" + + with m.State("ResetPauseDelay3"): m.next = "ResetPause" with m.State("ResetPause"):