X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=resources.mdwn;h=42851192db79fa82422cf0120c1b9a34547bda2e;hb=3e4c539be093a75f8affb8ed11f19ec19b0b2a30;hp=3bf9fc29f50d4da5b7bf7cd2ea8685f68d203e50;hpb=a6ba5821389110b29f2f71f1269ecf4d31c6555b;p=libreriscv.git diff --git a/resources.mdwn b/resources.mdwn index 3bf9fc29f..42851192d 100644 --- a/resources.mdwn +++ b/resources.mdwn @@ -23,7 +23,8 @@ This section is primarily a series of useful links found online ## Overview of the user ISA: -[Raymond Chen's PowerPC series](https://devblogs.microsoft.com/oldnewthing/20180806-00/?p=99425) +* [Raymond Chen's PowerPC series](https://devblogs.microsoft.com/oldnewthing/20180806-00/?p=99425) +* Power ISA listings ## OpenPOWER OpenFSI Spec (2016) @@ -31,12 +32,26 @@ This section is primarily a series of useful links found online * [OpenPOWER OpenFSI Compliance Spec](http://openpowerfoundation.org/wp-content/uploads/resources/openpower-fsi-thts-1.0/openpower-fsi-thts-20180130.pdf) +# Energy-efficient cores + +* https://arxiv.org/abs/2002.10143 + # Communities * * * +* Open tape-out mailing list +# Other GPU Specifications + +* +* https://developer.amd.com/wp-content/resources/RDNA_Shader_ISA.pdf +* https://developer.amd.com/wp-content/resources/Vega_Shader_ISA_28July2017.pdf +* MALI Midgard +* [Nyuzi](https://github.com/jbush001/NyuziProcessor) +* VideoCore IV +* etnaviv # JTAG @@ -46,40 +61,18 @@ This section is primarily a series of useful links found online "The objective of this work is to design and implement a TAP controller IP core compatible with IEEE 1149.1-2013 revision of the standard. The test logic architecture also includes the Test Mode Persistence controller and its associated logic. This work is expected to serve as a ready to use module that can be directly inserted in to a new digital IC designs with little modifications." -# RISC-V Instruction Set Architecture - -**PLEASE UPDATE** - we are no longer implementing full RISCV, only user-space -RISCV - -The Libre RISC-V Project is building a hybrid CPU/GPU SoC. As the name -of the project implies, we will be following the RISC-V ISA I due to it -being open-source and also because of the huge software and hardware -ecosystem building around it. There are other open-source ISAs but none -of them have the same momentum and energy behind it as RISC-V. - -To fully take advantage of the RISC-V ecosystem, it is important to be -compliant with the RISC-V standards. Doing so will allow us to to reuse -most software as-is and avoid major forks. - -* [Official compiled PDFs of RISC-V ISA Manual] - (https://github.com/riscv/riscv-isa-manual/releases/latest) -* [Working draft of the proposed RISC-V Bitmanipulation extension](https://github.com/riscv/riscv-bitmanip/blob/master/bitmanip-draft.pdf) -* [RISC-V "V" Vector Extension](https://riscv.github.io/documents/riscv-v-spec/) -* [RISC-V Supervisor Binary Interface Specification](https://github.com/riscv/riscv-sbi-doc/blob/master/riscv-sbi.md) - -Note: As far as I know, we aren't using the RISC-V V Extension directly -at the moment (correction: we were never going to). However, there are many wiki pages that make a reference -to the V extension so it would be good to include it here as a reference -for comparative/informative purposes with regard to Simple-V. - - # Radix MMU - [Qemu emulation](https://github.com/qemu/qemu/commit/d5fee0bbe68d5e61e2d2beb5ff6de0b9c1cfd182) # D-Cache +- [A Primer on Memory Consistency and Cache Coherence +](https://www.morganclaypool.com/doi/10.2200/S00962ED2V01Y201910CAC049) + ## D-Cache Possible Optimizations papers and links - [ACDC: Small, Predictable and High-Performance Data Cache](https://dl.acm.org/doi/10.1145/2677093) +- [Stop Crying Over Your Cache Miss Rate: Handling Efficiently Thousands of +Outstanding Misses in FPGAs](https://dl.acm.org/doi/abs/10.1145/3289602.3293901) # BW Enhancing Shared L1 Cache Design research done in cooperation with AMD - [Youtube video PACT 2020 - Analyzing and Leveraging Shared L1 Caches in GPUs](https://m.youtube.com/watch?v=CGIhOnt7F6s) @@ -88,9 +81,16 @@ for comparative/informative purposes with regard to Simple-V. # RTL Arithmetic SQRT, FPU etc. +## Wallace vs Dadda Multipliers + +* [Paper comparing efficiency of Wallace and Dadda Multipliers in RTL implementations (clicking will download the pdf from archive.org)](https://web.archive.org/web/20180717013227/http://ieeemilestones.ethw.org/images/d/db/A_comparison_of_Dadda_and_Wallace_multiplier_delays.pdf) + ## Sqrt * [Fast Floating Point Square Root](https://pdfs.semanticscholar.org/5060/4e9aff0e37089c4ab9a376c3f35761ffe28b.pdf) * [Reciprocal Square Root Algorithm](http://www.acsel-lab.com/arithmetic/arith15/papers/ARITH15_Takagi.pdf) +* [Fast Calculation of Cube and Inverse Cube Roots Using a Magic Constant and Its Implementation on Microcontrollers (clicking will download the pdf)](https://res.mdpi.com/d_attachment/energies/energies-14-01058/article_deploy/energies-14-01058-v2.pdf) +* [Modified Fast Inverse Square Root and Square Root Approximation Algorithms: The Method of Switching Magic Constants (clicking will download the pdf)](https://res.mdpi.com/d_attachment/computation/computation-09-00021/article_deploy/computation-09-00021-v3.pdf) + ## CORDIC and related algorithms @@ -102,6 +102,7 @@ for comparative/informative purposes with regard to Simple-V. * [zipcpu CORDIC](https://zipcpu.com/dsp/2017/08/30/cordic.html) * [Low latency and Low error floating point TCORDIC](https://ieeexplore.ieee.org/document/7784797) (email Michael or Cole if you don't have IEEE access) * MyHDL version of CORDIC +* ## IEEE Standard for Floating-Point Arithmetic (IEEE 754) @@ -112,7 +113,7 @@ course, we will follow it as well for interoperability. Note: Even though this is such an important standard used by everyone, it is unfortunately not freely available and requires a payment to -access. However, each of the Libre RISC-V members already have access +access. However, each of the Libre-SOC members already have access to the document. * [Lecture notes - Floating Point Appreciation](http://pages.cs.wisc.edu/~markhill/cs354/Fall2008/notes/flpt.apprec.html) @@ -128,6 +129,9 @@ Nice resource on rounding errors (ulps and epsilon) and the "table maker's dilem * [Intel Underestimates Error Bounds by 1.3 quintillion on Random ASCII – tech blog of Bruce Dawson ](https://randomascii.wordpress.com/2014/10/09/intel-underestimates-error-bounds-by-1-3-quintillion/) * [Intel overstates FPU accuracy 06/01/2013](http://notabs.org/fpuaccuracy) +* How not to design an ISA + + Meester Forsyth # Khronos Standards @@ -166,6 +170,10 @@ Note: We are implementing hardware accelerated Vulkan and OpenCL while relying on other software projects to translate APIs to Vulkan. E.g. Zink allows for OpenGL-to-Vulkan in software. +# Open Source (CC BY + MIT) DirectX specs (by Microsoft, but not official specs) + +https://github.com/Microsoft/DirectX-Specs + # Graphics and Compute API Stack I found this informative post that mentions Kazan and a whole bunch of @@ -202,14 +210,10 @@ although performance is not evaluated. # Conferences -## Free Silicon Conference +see [[conferences]] -The conference brought together experts and enthusiasts who want to build -a complete Free and Open Source CAD ecosystem for designing analog and -digital integrated circuits. The conference covered the full spectrum of -the design process, from system architecture, to layout and verification. -* +# Coriolis2 * LIP6's Coriolis - a set of backend design tools: @@ -217,8 +221,19 @@ the design process, from system architecture, to layout and verification. Note: The rest of LIP6's website is in French, but there is a UK flag in the corner that gives the English version. +# Logical Equivalence and extraction + +* NETGEN +* CVC https://github.com/d-m-bailey/cvc + +# Klayout + * KLayout - Layout viewer and editor: +# image to GDS-II + +* https://nazca-design.org/convert-image-to-gds/ + # The OpenROAD Project OpenROAD seeks to develop and foster an autonomous, 24-hour, open-source @@ -292,6 +307,8 @@ thousands or millions of silicon. * Possible way to speed up our solvers for our formal proofs * Algorithms (papers) submitted for 2018 International SAT Competition +* Minisail - compiler + for SAIL into c Some learning resources I found in the community: @@ -307,6 +324,18 @@ Some learning resources I found in the community: * +# Bus Architectures + +* Avalon +* CXM + +# Vector Processors + +* THOR +* NEC SX-Aurora +* RVV +* MRISC32 + # LLVM ## Adding new instructions: @@ -331,21 +360,24 @@ Some learning resources I found in the community: [the code](https://github.com/RobertBaruch/n6800) and [instructional videos](https://www.youtube.com/playlist?list=PLEeZWGE3PwbbjxV7_XnPSR7ouLR2zjktw) online. + There is now a page [[docs/learning_nmigen]]. * [Minerva](https://github.com/lambdaconcept/minerva) An SOC written in Python nMigen DSL * Minerva example using nmigen-soc * [Using our Python Unit Tests(old)](http://lists.libre-riscv.org/pipermail/libre-riscv-dev/2019-March/000705.html) * -* # Other +* N1 +* Libre Cell Library * * * * pipeline skid buffer * GTKwave +* - Waveform Analysis * Synchronous Resets? Asynchronous Resets? I am so confused! How will I ever know which to use? by Clifford E. Cummings @@ -357,6 +389,8 @@ Some learning resources I found in the community: * Understanding Latency Hiding on GPUs, by Vasily Volkov * Efabless "Openlane" +* example of openlane with nmigen + * Co-simulation plugin for verilator, transferring to ECP5 * Multi-read/write ported memories @@ -371,6 +405,7 @@ Some learning resources I found in the community: * Circuitverse 16-bit * Nice example model of a Tomasulo-based architecture, with multi-issue, in-order issue, out-of-order execution, in-order commit, with reservation stations and reorder buffers, and hazard avoidance. + # Real/Physical Projects * [Samuel's KC5 code](http://chiselapp.com/user/kc5tja/repository/kestrel-3/dir?ci=6c559135a301f321&name=cores/cpu) @@ -448,9 +483,59 @@ This list auto-generated from a page tag "standards": * [[resources/high-speed-serdes-in-circuitjs]] +# Logic Simulator 2 +* +[Live web version](https://dkilfoyle.github.io/logic2/) + +> ## Features +> 1. Micro-subset verilog-like DSL for coding the array of logic gates (parsed using Antlr) +> 2. Monaco-based code editor with automatic linting/error reporting, smart indentation, code folding, hints +> 3. IDE docking ui courtesy of JupyterLab's Lumino widgets +> 4. Schematic visualisation courtesy of d3-hwschematic +> 5. Testbench simulation with graphical trace output and schematic animation +> 6. Circuit description as gates, boolean logic or verilog behavioural model +> 7. Generate arbitrary outputs from truth table and Sum of Products or Karnaugh Map + +[from the GitHub page. As of 2021/03/29] + # ASIC Timing and Design flow resources * * * * + +# Geometric Haskell Library + +* +* +* +* + +# TODO investigate + +``` + https://github.com/idea-fasoc/OpenFASOC + https://www.quicklogic.com/2020/06/18/the-tipping-point/ + https://www.quicklogic.com/blog/ + https://www.quicklogic.com/2020/09/15/why-open-source-ecosystems-make-good-business-sense/ + https://www.quicklogic.com/qorc/ + https://en.wikipedia.org/wiki/RAD750 + The RAD750 system has a price that is comparable to the RAD6000, the latter of which as of 2002 was listed at US$200,000 (equivalent to $284,292 in 2019). + https://theamphour.com/525-open-fpga-toolchains-and-machine-learning-with-brian-faith-of-quicklogic/ + https://github.blog/2021-03-22-open-innovation-winning-strategy-digital-sovereignty-human-progress/ + https://github.com/olofk/edalize + https://github.com/hdl/containers + https://twitter.com/OlofKindgren/status/1374848733746192394 + You might also want to check out https://umarcor.github.io/osvb/index.html + https://www.linkedin.com/pulse/1932021-python-now-replaces-tcl-all-besteda-apis-avidan-efody/ + “TCL has served us well, over the years, allowing us to provide an API, and at the same time ensure nobody will ever use it. I will miss it”. + https://sphinxcontrib-hdl-diagrams.readthedocs.io/en/latest/examples/comb-full-adder.html + https://sphinxcontrib-hdl-diagrams.readthedocs.io/en/latest/examples/carry4.html + FuseSoC is used by MicroWatt and Western Digital cores + OpenTitan also uses FuseSoC + LowRISC is UK based + https://antmicro.com/blog/2020/12/ibex-support-in-verilator-yosys-via-uhdm-surelog/ + https://cirosantilli.com/x86-paging + http://denninginstitute.com/modules/vm/red/i486page.html +```