X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=sandbox.mdwn;h=4e8bb3cc781e5112a61b0355ef34f736354bd890;hb=11fdc4850323d4e0fe7420851d5c214a03abcb8b;hp=86cd8920e3942f7be99458af7ae3896088fbb963;hpb=e30e6a26637ed5fe0c05dc2ad8ccfc7c3fcc40f8;p=libreriscv.git diff --git a/sandbox.mdwn b/sandbox.mdwn index 86cd8920e..4e8bb3cc7 100644 --- a/sandbox.mdwn +++ b/sandbox.mdwn @@ -40,6 +40,26 @@ ports = [top.in_, top.out] f.write(verilog.convert(top, name='top',strip_internal_attrs=True,ports=ports)) ``` +# experiment with single bullet + +heading + +* hello +* bullet2 +``` + test indentation + test again +``` + +heading again + +1. bullet now two blank lines after + + + indent after 2 blank lines + more indent + + Here's a paragraph. Here's another one with *emphasised* text.