X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=sandbox.mdwn;h=4e8bb3cc781e5112a61b0355ef34f736354bd890;hb=25f53003f56e479e96e8c16ab26898460fd77a18;hp=a51177828380819e5e7a5a87ff8068f1b0bfc82a;hpb=1d0d24d05b0d6a900c2a51c3ec2781d66da75631;p=libreriscv.git diff --git a/sandbox.mdwn b/sandbox.mdwn index a51177828..4e8bb3cc7 100644 --- a/sandbox.mdwn +++ b/sandbox.mdwn @@ -1,19 +1,64 @@ This is the SandBox, a page anyone can edit to learn how to use the wiki. +---- +Some codebox: -
-

Simple Collapsible

-

Click on the button to toggle between showing and hiding content.

- -
- Lorem ipsum dolor sit amet, consectetur adipisicing elit, - sed do eiusmod tempor incididunt ut labore et dolore magna aliqua. Ut enim ad minim veniam, - quis nostrud exercitation ullamco laboris nisi ut aliquip ex ea commodo consequat. -
-
+```python +from enum import Enum, unique +from nmigen import * + +@unique +class selects(Enum): + sel_A = 0 + sel_B = 1 + sel_C = 2 + sel_D = 3 + sel_E = 4 + sel_F = 20 + +class Top(Elaboratable): + def __init__(self): + self.in_ = Signal(selects) + self.out = Signal() + + def elaborate(self, platform): + m = Module() + m.d.comb += self.out.eq(self.in_) + + with m.If(self.in_ == selects.sel_F): + m.d.comb += self.out.eq(1) + with m.Else(): + m.d.comb += self.out.eq(0) + + return m + +from nmigen.back import verilog +f = open("top.v", "w") +top = Top() +ports = [top.in_, top.out] +f.write(verilog.convert(top, name='top',strip_internal_attrs=True,ports=ports)) +``` + +# experiment with single bullet + +heading + +* hello +* bullet2 +``` + test indentation + test again +``` + +heading again + +1. bullet now two blank lines after + + + indent after 2 blank lines + more indent ----- Here's a paragraph. @@ -42,4 +87,6 @@ Bulleted list * *item* * item + + [[ikiwiki/WikiLink]]