X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=sandbox.mdwn;h=4e8bb3cc781e5112a61b0355ef34f736354bd890;hb=62918721dc0acd36c5913e02aaf657dd1150f4b2;hp=ab460843db5cb32d5ec7248594d0fdeda3ae92a8;hpb=682eafac1f90afea8eeeb0a7481cedde52174978;p=libreriscv.git diff --git a/sandbox.mdwn b/sandbox.mdwn index ab460843d..4e8bb3cc7 100644 --- a/sandbox.mdwn +++ b/sandbox.mdwn @@ -1,29 +1,64 @@ This is the SandBox, a page anyone can edit to learn how to use the wiki. +---- - +Some codebox: + +```python +from enum import Enum, unique +from nmigen import * + +@unique +class selects(Enum): + sel_A = 0 + sel_B = 1 + sel_C = 2 + sel_D = 3 + sel_E = 4 + sel_F = 20 + +class Top(Elaboratable): + def __init__(self): + self.in_ = Signal(selects) + self.out = Signal() + + def elaborate(self, platform): + m = Module() + m.d.comb += self.out.eq(self.in_) + + with m.If(self.in_ == selects.sel_F): + m.d.comb += self.out.eq(1) + with m.Else(): + m.d.comb += self.out.eq(0) + + return m + +from nmigen.back import verilog +f = open("top.v", "w") +top = Top() +ports = [top.in_, top.out] +f.write(verilog.convert(top, name='top',strip_internal_attrs=True,ports=ports)) +``` + +# experiment with single bullet + +heading + +* hello +* bullet2 +``` + test indentation + test again +``` + +heading again + +1. bullet now two blank lines after + + + indent after 2 blank lines + more indent ----- Here's a paragraph. @@ -52,4 +87,6 @@ Bulleted list * *item* * item + + [[ikiwiki/WikiLink]]