X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=sandbox.mdwn;h=4e8bb3cc781e5112a61b0355ef34f736354bd890;hb=d1c4c5d08320411b1c613e3160d4bcb4906d6224;hp=cfa64f3c997fa0328041ad6b622bcec102580237;hpb=9b183ea0bd4f46b8023e37ba6b29c6f6dd564580;p=libreriscv.git diff --git a/sandbox.mdwn b/sandbox.mdwn index cfa64f3c9..4e8bb3cc7 100644 --- a/sandbox.mdwn +++ b/sandbox.mdwn @@ -1,35 +1,92 @@ - -
-
-

Raw denim you probably haven't heard of them jean shorts Austin. Nesciunt tofu stumptown aliqua, retro synth master cleanse. Mustache cliche tempor, williamsburg carles vegan helvetica. Reprehenderit butcher retro keffiyeh dreamcatcher synth. Cosby sweater eu banh mi, qui irure terry richardson ex squid. Aliquip placeat salvia cillum iphone. Seitan aliquip quis cardigan american apparel, butcher voluptate nisi qui.

-
-
-

Food truck fixie locavore, accusamus mcsweeney's marfa nulla single-origin coffee squid. Exercitation +1 labore velit, blog sartorial PBR leggings next level wes anderson artisan four loko farm-to-table craft beer twee. Qui photo booth letterpress, commodo enim craft beer mlkshk aliquip jean shorts ullamco ad vinyl cillum PBR. Homo nostrud organic, assumenda labore aesthetic magna delectus mollit.

-
- - -
+This is the SandBox, a page anyone can edit to learn how to use the wiki. + +---- + +Some codebox: + +```python +from enum import Enum, unique +from nmigen import * + +@unique +class selects(Enum): + sel_A = 0 + sel_B = 1 + sel_C = 2 + sel_D = 3 + sel_E = 4 + sel_F = 20 + +class Top(Elaboratable): + def __init__(self): + self.in_ = Signal(selects) + self.out = Signal() + + def elaborate(self, platform): + m = Module() + m.d.comb += self.out.eq(self.in_) + + with m.If(self.in_ == selects.sel_F): + m.d.comb += self.out.eq(1) + with m.Else(): + m.d.comb += self.out.eq(0) + + return m + +from nmigen.back import verilog +f = open("top.v", "w") +top = Top() +ports = [top.in_, top.out] +f.write(verilog.convert(top, name='top',strip_internal_attrs=True,ports=ports)) +``` + +# experiment with single bullet + +heading + +* hello +* bullet2 +``` + test indentation + test again +``` + +heading again + +1. bullet now two blank lines after + + + indent after 2 blank lines + more indent + + +Here's a paragraph. + +Here's another one with *emphasised* text. + +# Header + +## Subheader + +> This is a blockquote. +> +> This is the first level of quoting. +> +> > This is nested blockquote. +> +> Back to the first level. + +Numbered list + +1. First item. +1. Another. +1. And another.. + +Bulleted list + +* *item* +* item + + + +[[ikiwiki/WikiLink]]