X-Git-Url: https://git.libre-soc.org/?a=blobdiff_plain;f=src%2Fieee754%2Ffpadd%2Fadd0.py;h=c3300a60410d659a9307638312cfbe175f69222e;hb=c1d00c6b8f244fa83c432b6521943c43f421a219;hp=a76374c4217b6049d2412f8bdb812217bc2ed6b1;hpb=2b908f649eac5709292ec6a059c736ebc6baa5a0;p=ieee754fpu.git diff --git a/src/ieee754/fpadd/add0.py b/src/ieee754/fpadd/add0.py index a76374c4..c3300a60 100644 --- a/src/ieee754/fpadd/add0.py +++ b/src/ieee754/fpadd/add0.py @@ -1,113 +1,65 @@ -# IEEE Floating Point Adder (Single Precision) -# Copyright (C) Jonathan P Dawson 2013 -# 2013-12-12 +"""IEEE754 Floating Point Adder Pipeline -from nmigen import Module, Signal, Cat, Elaboratable -from nmigen.cli import main, verilog - -from ieee754.fpcommon.fpbase import FPNumBase, FPNumBaseRecord -from ieee754.fpcommon.fpbase import FPState -from ieee754.fpcommon.denorm import FPSCData +Copyright (C) 2019 Luke Kenneth Casson Leighton +""" -class FPAddStage0Data: +from nmigen import Module, Signal, Cat, Mux +from nmigen.cli import main, verilog - def __init__(self, width, id_wid): - self.z = FPNumBaseRecord(width, False) - self.out_do_z = Signal(reset_less=True) - self.oz = Signal(width, reset_less=True) - self.tot = Signal(self.z.m_width + 4, reset_less=True) - self.mid = Signal(id_wid, reset_less=True) +from nmutil.pipemodbase import PipeModBase - def eq(self, i): - return [self.z.eq(i.z), self.out_do_z.eq(i.out_do_z), self.oz.eq(i.oz), - self.tot.eq(i.tot), self.mid.eq(i.mid)] +from ieee754.fpcommon.denorm import FPSCData +from ieee754.fpcommon.getop import FPPipeContext +from ieee754.fpadd.datastruct import FPAddStage0Data -class FPAddStage0Mod(Elaboratable): +class FPAddStage0Mod(PipeModBase): - def __init__(self, width, id_wid): - self.width = width - self.id_wid = id_wid - self.i = self.ispec() - self.o = self.ospec() + def __init__(self, pspec): + super().__init__(pspec, "add0") def ispec(self): - return FPSCData(self.width, self.id_wid) + return FPSCData(self.pspec, True) def ospec(self): - return FPAddStage0Data(self.width, self.id_wid) - - def process(self, i): - return self.o - - def setup(self, m, i): - """ links module to inputs and outputs - """ - m.submodules.add0 = self - m.d.comb += self.i.eq(i) + return FPAddStage0Data(self.pspec) def elaborate(self, platform): m = Module() - #m.submodules.add0_in_a = self.i.a - #m.submodules.add0_in_b = self.i.b - #m.submodules.add0_out_z = self.o.z + comb = m.d.comb # store intermediate tests (and zero-extended mantissas) seq = Signal(reset_less=True) mge = Signal(reset_less=True) am0 = Signal(len(self.i.a.m)+1, reset_less=True) bm0 = Signal(len(self.i.b.m)+1, reset_less=True) - m.d.comb += [seq.eq(self.i.a.s == self.i.b.s), - mge.eq(self.i.a.m >= self.i.b.m), - am0.eq(Cat(self.i.a.m, 0)), - bm0.eq(Cat(self.i.b.m, 0)) - ] # same-sign (both negative or both positive) add mantissas - with m.If(~self.i.out_do_z): - m.d.comb += self.o.z.e.eq(self.i.a.e) - with m.If(seq): - m.d.comb += [ - self.o.tot.eq(am0 + bm0), - self.o.z.s.eq(self.i.a.s) - ] - # a mantissa greater than b, use a - with m.Elif(mge): - m.d.comb += [ - self.o.tot.eq(am0 - bm0), - self.o.z.s.eq(self.i.a.s) + comb += [seq.eq(self.i.a.s == self.i.b.s), + mge.eq(self.i.a.m >= self.i.b.m), + am0.eq(Cat(self.i.a.m, 0)), + bm0.eq(Cat(self.i.b.m, 0)) ] - # b mantissa greater than a, use b - with m.Else(): - m.d.comb += [ - self.o.tot.eq(bm0 - am0), - self.o.z.s.eq(self.i.b.s) + comb += self.o.z.e.eq(self.i.a.e) + comb += self.o.z.s.eq(Mux(seq | mge, self.i.a.s, self.i.b.s)) + with m.If(seq): + comb += [ + self.o.tot.eq(am0 + bm0), ] + # a mantissa greater than b, use a + with m.Elif(mge): + comb += [ + self.o.tot.eq(am0 - bm0), + ] + # b mantissa greater than a, use b + with m.Else(): + comb += [ + self.o.tot.eq(bm0 - am0), + ] - m.d.comb += self.o.oz.eq(self.i.oz) - m.d.comb += self.o.out_do_z.eq(self.i.out_do_z) - m.d.comb += self.o.mid.eq(self.i.mid) - return m - - -class FPAddStage0(FPState): - """ First stage of add. covers same-sign (add) and subtract - special-casing when mantissas are greater or equal, to - give greatest accuracy. - """ - - def __init__(self, width, id_wid): - FPState.__init__(self, "add_0") - self.mod = FPAddStage0Mod(width) - self.o = self.mod.ospec() - - def setup(self, m, i): - """ links module to inputs and outputs - """ - self.mod.setup(m, i) - - # NOTE: these could be done as combinatorial (merge add0+add1) - m.d.sync += self.o.eq(self.mod.o) + # pass-through context + comb += self.o.oz.eq(self.i.oz) + comb += self.o.out_do_z.eq(self.i.out_do_z) + comb += self.o.ctx.eq(self.i.ctx) - def action(self, m): - m.next = "add_1" + return m